EDA课程设计报告

上传人:公**** 文档编号:509285240 上传时间:2022-07-30 格式:DOC 页数:23 大小:761.52KB
返回 下载 相关 举报
EDA课程设计报告_第1页
第1页 / 共23页
EDA课程设计报告_第2页
第2页 / 共23页
EDA课程设计报告_第3页
第3页 / 共23页
EDA课程设计报告_第4页
第4页 / 共23页
EDA课程设计报告_第5页
第5页 / 共23页
点击查看更多>>
资源描述

《EDA课程设计报告》由会员分享,可在线阅读,更多相关《EDA课程设计报告(23页珍藏版)》请在金锄头文库上搜索。

1、 EDA技术课程设计报告题 目 智力竞赛抢答器 学 院 电子信息工程学院 专 业 电子信息工程(本) 学生姓名 学 号 年级 设计报告(40分)程序(实物) 设计(40分)工作态度(20分)总成绩(100分)评阅人签字评阅日期指导教师 职称 课程设计题目智力竞赛抢答器组员课程设计目的亲自体验一次采用现代电子设计自动化技术,从无到有自主完成一个电子系统设计的全过程,以获得初步的电子系统设计经验。 课程设计所需环境计算机、设计软件Max+Plus、EDA实验箱课程设计任务要求1设计任务: 设计一个能满足8个组同时参加竞赛的抢答器,其功能为:电路复位后,数码显0,主持人示意抢答开始后,每个组都可以通

2、过各自的按钮开关发出抢答信号,抢答器一旦接收到某组最先发出的抢答信号后,立即让数码管显出该组的组号,同时发出音响提示,且对后来组发出的抢答信号一律不与理睬。重新复位后数码显示归0,提示音停止,在抢答组回答完问题后,重复前述过程,可进行下轮抢答。2 设计要求: 用VHDL语言描述抢答器逻辑功能,经编译后仿真且波形正确后,下载到实验箱上做真实电路验证。撰写设计报告: 给出设计方案框图(包括模块的划分,信息的传递关系)、各模块的VHDL程序、每个模块的仿真波形图,并辅以文字说明、下载验证操作过程、设计的心得体会和收获。课程设计工作进度计划时间工作内容备注 周1 布置讲解课程设计题目、内容和要求周2按

3、课程设计题目要求自行设计周3按课程设计题目要求自行设计可做个别指导周4在实验室上机对所设计的内容做硬软件调试周5按规范撰写课程设计报告书学生签名: 指导教师签字: 杨显富 2011 年11 月1 日系部审核意见:系主任签字: 年 月 日课程设计任务书智力竞赛抢答器摘要:本课程设计分为主体电路和扩展电路两部分共同实现抢答器的锁存、显示与报警功能。在本次设计中,系统开发平台Max+plus ,硬件描述语言是VHDL 。竞赛者可以分为8 组,抢答时各组对主持人提出的问题要在最短的时间内做出判断,并按下抢答按键回答问题。当第一个人按下按键后,则在显示器上显示该组的号码,对应的灯亮,同时电路将其他各组按

4、键封锁,使其不起作用。若抢答时间内无人抢答,则报警灯亮。回答完问题后,由主持人将所有按键恢复,重新开始下一轮抢答。并且依据设计方案和设计平台完成了程序编写和程序调试,通过运行程序及时序波形的仿真有效验证了设计的正确性,初步实现了设计目标。关键词:锁存 显示 抢答器 Max+plus Quiz Responderabstract: This course design is divided into two main circuit and expansion circuit realized partly joint inverter latch responder , display and

5、 alarm function . In this design , system developing platform for Max+plus, hardware description language is VHDL .Competitors may be divided into eight groups , vies to answer first when each to the host puts forward the problems in the shortest possible time to make judgments and press the buttons

6、 vies to answer the question When the first man press buttons , then on screen display supervisor number , the corresponding lights , and other groups circuit will buttons blockade , make it doesnt work . If responder time no contest , the alarm light . Answers questions , by a host will restore all

7、 keys and start again next contest . And according to the design scheme and design platform completed programming and program test , through to run the program in time sequence waveform simulation verified effectively the correctness of design and then realized the design goal . Keywords: latch disp

8、lay Scare answering manometers Max+plus 目 录1 绪论12设计流程23设计33.1硬件设计33.1.1系统的设计平台概述33.1.2硬件平台Max-plus概述33.1.3抢答器系统设计要求43.2 软件设计43.2.1子模块的设计思想和实现53.2.2鉴别模块的设计与实现53.2.3锁存反馈模块的设计与实现73.2.4编码模块的设计与实现73.2.5声音报警模块的设计与实现93.2.6组别译码显示模块的设计与实现104 调试与操作说明124.1抢答器的系统实现124.2结果分析135 课程设计总结与体会14致 谢15参考文献16附 录171 绪论抢答器

9、是在竞赛、文体娱乐活动(抢答活动)中,能准确、公正、直观地判断出抢答者的机器,通过抢答者的指示灯显示、数码显示和警示显示等手段指示出第一抢答者。随着现代科学技术的发展,抢答器械也由以前的各种传统式抢答器演变到现在的数字式抢答器。抢答器的应用广泛,工厂、学校和电视台等单位常举办各种智力竞赛,抢答器是必要设备。在各种竞赛中我们经常看到有抢答的环节,举办方多数采用让选手通过举答题板的方法判断选手的答题权,这在某种程度上会因为主持人的主观判断失误造成比赛的不公平性。从而达不到抢答的真正效果,为了解决此类问题,现代科学技术利用一些数字集成电路组成,数字抢答器能够弥补以前的诸多不足之处,以提高信号接收的快

10、速性、精确性、应用到日常生活中能够给人们带来更大的方便,以提高效率。这次实验我们将使用EDA技术进行操作,那么用EDA技术的设计优势的优势是什么呢?(1)使电子设计成果以自主知识产权的方式得以明确表达和确认成为可能。(2)在仿真和设计两方面支持标准硬件描述语言的功能强大的EDA软件不断推出。(3)电子技术全方位进入EDA领域,除了日益成熟的数字技术外,传统的电路系统设计建模理念发生重大的变化:软件无线电技术的出席那,数字信号处理和图像处理的全硬件是吸纳方案的普遍接受,软硬件技术的进一步融合等。(4)EDA是的电子技术领域各学科的界限更加模糊,更加互为包容,如模拟与数字、软件与硬件、系统与器件、

11、ASIC与FPGA、行为与结构等。(5)更大规模的FPGA和CPLD器件的不断推出。(6)基于EDA工具、用于ASIC设计的标准单元已涵盖大规模电子系统及复杂IP模块。(7)软硬件IP核在电子兴业的产业领域、技术领域和设计应用领域得到进一步确认和广泛应用。(8)SoC高效低成本设计技术的成熟。(9)系统级、行为验证级硬件描述语言的出现,使复杂的电子系统的设计和验证趋于简单。这次实验我们会结合Max+plus软件进行程序的输入、调试、仿真下载,Max+plus是Altera公司提供的FPGA/CPLD开发集成环境,Max+plus界面友好,使用便捷,被誉为业界最易用易学的EDA软件。在Max+p

12、lus上可以完成设计输入、元件适配、时序仿真和功能仿真、编程下载整个流程,它提供了一种与结构无关的设计环境,是设计者能方便地进行设计输入、快速处理和器件编程。2设计流程VHDL是一种全方位的硬件描述语言,几乎覆盖了以往各种硬件描述语言的功能,整个自顶向下或自底向上的电路设计过程都可以用VHDL来完成。本系统的设计就是采用VHDL硬件描述语言编程,基于MAX-PLUS II平台进行编译和仿真来实现的,其采用的模块化、逐步细化的设计方法有利于系统的分工合作,并且能够及早发现各子模块及系统中的错误,提高系统设计的效率。在电子产品的设计理念、设计方式、系统硬件构成、设计的重用性、知识产权、设计周期等方

13、面,EDA技术具有一定的优势。所以本次设计的抢答器抛弃了传统的设计方法,选择了采用主流的EDA技术进行设计。在设计方面则用了4个模块,分别是锁存器、与门电路、编码器、译码器,输出端分别接上了蜂鸣器和数码显示管。下面对本课程设计的原理做一下介绍。首先,输入端接入的是八位输入信号S0S7,主体电路完成基本的抢答功能,即开始抢答后,当选手按动抢答键时,能显示选手的编号,同时能封锁输入电路,禁止其他选手抢答。外部电路则显示组号和报警。接通电源时,节目主持人将开关置于“复位”位置,抢答器处于禁止工作状态,编号显示器不显示。当主持人松开按钮时,开始抢答,这时数码管将显示第一位抢答选手的编号,其后选手的抢答

14、将被禁止。回答完毕后,主持人再次“复位”,如此循环。当选手按动抢答键时,抢答器要完成以下四项工作: 优先级电路立即分辨出抢答者的编号,并由锁存器进行锁存,然后由译码显示电路显示编号;扬声器发出短暂声响,提醒节目主持人注意;控制电路要对输入编码电路进行封锁,避免其他选手再次进行抢答;编号显示保持到主持人将系统清零为止。当选手将问题回答完毕,主持人操作控制开关,使系统回复到禁止工作状态,以便进行下一轮抢答。3设计3.1硬件设计本课题可以使用硬件描述语言(VHDL语言)实现。实现的思路可以用下面的框图来说明:复位控制声音报警译码输出组别锁存抢答鉴别图3-1 基于VHDL语言实现数字抢答器框图整个系统分为以下几个模块来分别实现:1抢答鉴别模块:它的功能是鉴别八组中是那组抢答成功并且把抢答成功的组别信号输出给锁存模块。2复位控制模块:给节目主持人设置一个控制开关,用来控制系统的清零和抢答的开始。3锁存模块:该电路的作用是当第一个抢答者抢答后,对第一个抢答者的组别进行锁存并显示在数码管上,后面的抢答者信号全都无响应,直到主持人按下复位键。4显示报警模块:就是把各个模块的输入的不同信号经过译码成BCD码然后直接在数码管上显示,还可以加上蜂鸣器的声音,更能给观众一个准确、简明的数字。3.1.1系统的设计平

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 办公文档 > PPT模板库 > 总结/计划/报告

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号