用VHDL语言实现拔河电路功能

上传人:夏** 文档编号:509146048 上传时间:2022-10-12 格式:DOCX 页数:14 大小:245.34KB
返回 下载 相关 举报
用VHDL语言实现拔河电路功能_第1页
第1页 / 共14页
用VHDL语言实现拔河电路功能_第2页
第2页 / 共14页
用VHDL语言实现拔河电路功能_第3页
第3页 / 共14页
用VHDL语言实现拔河电路功能_第4页
第4页 / 共14页
用VHDL语言实现拔河电路功能_第5页
第5页 / 共14页
点击查看更多>>
资源描述

《用VHDL语言实现拔河电路功能》由会员分享,可在线阅读,更多相关《用VHDL语言实现拔河电路功能(14页珍藏版)》请在金锄头文库上搜索。

1、实验四 基于uarus 的硬件描述语言的拔河电路设计一、 实验内容基于前期原理图电子拔河电路,甲、乙双方分别按动己方按键,按键的次数分别在双方的两个数码管上显示,当喊停止的时候,数码管数字较大的一方获胜,持续比赛多局以定胜负。二、实验工具1、DE开发板一种2、Qurtus 26软件3、VHDL语言三、实验准备1、学习VH语言,理解基本语法规则和基本语句。、明确所实现的电路所需要的器件,并且明确用VHDL实现该器件的基本逻辑关系和基本语句。实验所需要的器件为百进制计数器、比较器和译码器。、明确VHD实体的定义,明的确体的输入输出,并且明的确现该实体的基本语法和基本环节。4、必须明的确体层次的概念

2、,在低层次实体中,必须明确各个输入输出之间的联系,以构造的方式构建高层次实体。四、实验程序LIRARY EE;USEIEEEST_OG_1.ALL;USE EEE.TD_OGIC_UNSNED.LL;ETY test4 ISPT(KEY1,KEY2,KY3,CLC_N: STLOIC; EX0,HEX1,HEX2,HEX3,E4,HEX:OUTTD_LOGICVCTOR(6 DOWNO ); co:OUTSTD_LOGIC_VECOR(2 WN 0);EN tes4;-如下为百进制计数器设计实体-IBRR IEEE;USE IEEE.STDLIC_14.LL;S IEE.TD_OIC_UNGN

3、D.AL;ENTITY ON10ISORT(ck,lr: IN STD_LOGIC; -tein: IN SD_LOGICVECTR(DOWNTO 0); lou,i_out:OTSD_LOGICVECTOR(3 OWNTO 0);END OUT_10;HIT fwm OF COUT_00 ISSIGNAL hitmp,lo_tmp:STD_LOGI_VECTOR(3 DOWNTO 0);-IGN la_c: STD_LOIC_CTOR(1 ONT 0);EGI-load_cntlo&i_l; 数据写入与置数位控制的并置ROCESS(clk,cr)-i_lo=1时为高位置数,hi_o=时为低位

4、置数-VAIB q_tp : ST_LOGIC_VECT( OWNT0);BGNIF =0THEN i_tmp=0000;l_tmp=10TEo_tmp=001;EL lo_tmp=date_in;-N I;-ESIF ad_cnt=11TN -高位置数-IFdate_i=1010 TNi_tmp=101;-ELSE hi_tmp=at_in;-END;-ELSIF la_cnt0 OR lact=00 THENI lo_tmp=10 THE 低位等于9IF h_tmp/11 THEN -如果高位不等于lo_tmp00;hi_mp=hi_tp+; -那么高位加1ES hi_tmp=000;l_

5、tmp000; -ESEo_tp1001; -除此之外,低位9保持不变EN I;ELSE l_tmp=lo_tmp1; -如果低位不是9,那么低位加1ED IF;-ED;END F;END PROS;l_ot=o_tmp; -低位信号赋值给低位输出端hiouthi_tm; -高位信号赋值给高位输出端ED m;如下为计算胜的局数的10进制计数器LIBRAY IEE;USE IEEE.SDLOGIC_1164.AL;USE IEEE.STD_LOGC_UNSIGNE.ALL;ENITYUNT_1 ISPORT(ck,clr: IN STOC; CUNT_OUT:OUT T_OGIVECOR(3 D

6、OWNTO 0); COUN_0;ARCHTECUREfwm OF COUN_10 ISSINAL CUNT_1_TM: SOGICVECTR(3DNTO );BEINPROCSS(clk,cr)BEGIN Ir=0TEN COUNT0_TMP 0; -异步清零EIF lkETAND cl=1HENOUT_10_TM=COU_10_TP1;IF COU0_TMP=1001THEN -如果加到十,归零CNT_TM=000;EN ;EDIF;ENDPROES;ONT_10_UT=OU1_MP;wm; -如下为分频器实体电路LIBIEEE;USE IEEE.DLOGIC_1164.A;USE IET

7、D_LOGIC_NSIGN.;ETITY F IPORT(cl_in:STLOC;clkout:UT T_GIC);EN P;ARCHITECTURE fwm OF P ISCONSTANTM:INEG:=20000; -0分频到1HZSIGNL PTMP:_OGIC;BGNPROCESS(clk_in)VARIABLE COT :INTER:0;BGI lk_nEVENT AND cl_in=1 THECOUNT:=ONT; -计数器+IFCOUNTM THN FP_TMP=;SIF OUNTM*5 E FP_P=;LSE COUNT :=;EN IF;END I;ENROCESS;clk_

8、otdisot dis_ut=1100; -显示;WHE0010sut=010010;-显示2;WH001=dst=100; -显示;WHN0= is_ot is_out=01000; -显示;WHE0=is_out s_out=11100; -显示7;WHEN100 is_ot000000; -显示8;HEN101= dis_outdis_ot=1111; 不显示;D CASE;N POCES;END fm; -如下为位无符号整数比较器设计实体LRAR EEE;E IEEE.ST_C_16.L;USE EEE.TD_LGIC_UNSIGED.ALL;ENTIMPAR ISPOR(,b:IN

9、STDLOIC_VECTOR(7OWO 0);e,l,gt: U S_LOGIC);NDCOMPARE;ARCHITECRE fmO COPA ISBEIPOCSS(a,b)BEGINeq0;lt=0;t=0;IFa=b TEe=;ED F;I ab THE l TEN gt=1;NDI;EDPROCESS;ED wm; -如下为采用构造化描述te_6-ACHITECTUR fwm OF tes4 ISOMPONTCNT_1 POT(k,clr: IT_LOGIC; - dae_in:INSTLOGIC_VECT(3 DOWT 0); lo,hiout:OUT STD_LOGIC_VECTOR(3 D

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 办公文档 > 活动策划

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号