基于VHDL语言的十进制计数显示设计(读书报告、研究报告、EDA、SOPC)Word版

上传人:壹****1 文档编号:508354602 上传时间:2023-10-04 格式:DOC 页数:8 大小:239.50KB
返回 下载 相关 举报
基于VHDL语言的十进制计数显示设计(读书报告、研究报告、EDA、SOPC)Word版_第1页
第1页 / 共8页
基于VHDL语言的十进制计数显示设计(读书报告、研究报告、EDA、SOPC)Word版_第2页
第2页 / 共8页
基于VHDL语言的十进制计数显示设计(读书报告、研究报告、EDA、SOPC)Word版_第3页
第3页 / 共8页
基于VHDL语言的十进制计数显示设计(读书报告、研究报告、EDA、SOPC)Word版_第4页
第4页 / 共8页
基于VHDL语言的十进制计数显示设计(读书报告、研究报告、EDA、SOPC)Word版_第5页
第5页 / 共8页
点击查看更多>>
资源描述

《基于VHDL语言的十进制计数显示设计(读书报告、研究报告、EDA、SOPC)Word版》由会员分享,可在线阅读,更多相关《基于VHDL语言的十进制计数显示设计(读书报告、研究报告、EDA、SOPC)Word版(8页珍藏版)》请在金锄头文库上搜索。

1、2010年 春 季学期创新研修课程考核(读书报告、研究报告)考核科目:SOPC(片上可编程系统)及EDA技术 学生所在院(系):电气工程及其自动化学院学 生 姓 名: 学 号:考核结果阅卷人推荐精选 第 1 页 (共 页) 基于VHDL语言的十进制计数显示设计 1 引言我们熟知的各种数字系统终端设备都需要对于十进制的信息进行数码显示,从万用表,电压源等等仪器仪表到生活中的各种电气设备,其中最常用的显示器件就是LED和LCD。在大规模可编程逻辑器件FPGA的硬件基础上,根据译码器原理运用VHDL语言对十进制计数译码显示电路进行了设计,同时通过Quartus II 8.0的软件开发环境对设计电路进

2、行了仿真,同时下载到课程所发的实验箱中验证了硬件功能。2 十进制译码器显示的原理计数器是一种中规模的集成电路,其种类繁多,不但可以实现计数分频,而且可以实现测量、运算、定时、延时等等控制功能。目前各类计数器均有典型产品,二进制计数器74LS161、十进制计数器74LS90、十六进制计数器74LS191等。十进制计数器的工作原理,同二进制计数器相比较为复杂。一般分析步骤是:(1)从逻辑图上得出每个触发器的输入信号表达式;(2)将上述表达式带入 各触发器的状态方程,得到表示该计数器工作状态的状态方程;(3)根据状态方程得到计数器的状态转换表;(4)判断计数器的功能; 图1 为由D触发器组成的四位数

3、码计数电路图在上图所示的同步十进制加法计数器中有:将上述关系代入各JK触发器的特征方程,则计数器的状态方程为:推荐精选设计数器初始状态为0000,第一个计数脉冲来到后有:即计数器的状态为0001。同理计数可得,第2个计数脉冲来到后,状态为0010,类推可得到表1所示的状态转换表。由于是这里是十进制计数器,所以在计数器状态为1001时,即计数为9时需要跳过后面的6个状态,在下一个脉冲到来时,状态变为0000,完成一次十进制计数循环。 表1 同步十进制加法计数器状态表计数Q4Q3Q2Q1十进制数0000001000112001023001134010045010156011067011178100

4、08910019101010X111011X121100X131101X141110X151111X其中利用开发箱上的开关1作为计数脉冲给出端,开关2作为清零信号给出端,显示由7段LED给出,计数与数码显示所需七位二进制数对应关系表2所示: 表2 7段数码管显示对应二进制数计数值数码管对应七位二进制数0000111111000010110000推荐精选0010110110100111111001010001100110101101101101100011111011111100001000111111110011111011其他00000003 程序框图及程序清单根据上述原理,利用VDHL语言

5、在Altera公司研发的Quartus II的仿真环境中进行程序设计。程序流程图如下:开始是清零端是否为1否否计数端是否有信号是计数器加一是计数器是否到10进位位加1否清零显示计数器值 图2 十进制显示计数器程序流程图按上述流程图在用VHDL语言在仿真环境里的编程代码如下:library IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_ARITH.ALL;use IEEE.STD_LOGIC_UNSIGNED.ALL;推荐精选entity rr is port( rst,clk:in std_logic; y:out std_logic_

6、vector(13 downto 0);end rr;architecture Behavioral of rr is signal oc:std_logic; signal data:std_logic_vector(3 downto 0); Signal Data1:std_logic_vector(3 downto 0); Signal Data2:std_logic_vector(3 downto 0); signal count_1:STD_LOGIC_vector (3 DOWNTO 0); signal count_2:STD_LOGIC_vector (3 DOWNTO 0);

7、 signal outdata1:std_logic_vector(6 downto 0); signal outdata2:std_logic_vector(6 downto 0);begin count1: process(rst,clk)begin if (rst=1) then count_1=0000;count_2=0000; elsif (rising_edge(clk) then if (count_1=1001) then count_1=0000;oc=1; else count_1=count_1+1;oc=0; end if; if(oc=1)then count_2=

8、count_2+1; elsif (count_2=0110) then count_2=0000; end if; end if; Data1=count_1;Data2=count_2; end process; led1:process(rst,clk) begin if (rst=1) then outdata1outdata1outdata1outdata1outdata1outdata1outdata1outdata1outdata1outdata1outdata1outdata1outdata2outdata2outdata2outdata2outdata2outdata2out

9、data2outdata2outdata2outdata2outdata2=0000000; end case; end if; end if; end process; y=outdata2&outdata1;end Behavioral;4 仿真结果通过编译成功后,利用Quartus自带的waveform simulation进行十进制计数器的仿真,仿真结果如下:推荐精选 图3 十进制加法计数器仿真结果上图中表示的是从0到19的计数,其中y0到y6表示的十进制个位数,y7到y13表示的是十位数,其数位以表示成了7段数码管显示所需输出值,对应表2可得仿真结果正确。5 结论本文介绍了十进制计数器显示电路的原理及其设计方法,并且运用仿真软件实现预期目标,它省去了一个译码器的过程,直接通过一个中间变量把四位二进制的计数值对应成7段

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 资格认证/考试 > 自考

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号