XILINX语法约束举例说明

上传人:博****1 文档编号:508049350 上传时间:2024-02-05 格式:DOC 页数:39 大小:471KB
返回 下载 相关 举报
XILINX语法约束举例说明_第1页
第1页 / 共39页
XILINX语法约束举例说明_第2页
第2页 / 共39页
XILINX语法约束举例说明_第3页
第3页 / 共39页
XILINX语法约束举例说明_第4页
第4页 / 共39页
XILINX语法约束举例说明_第5页
第5页 / 共39页
点击查看更多>>
资源描述

《XILINX语法约束举例说明》由会员分享,可在线阅读,更多相关《XILINX语法约束举例说明(39页珍藏版)》请在金锄头文库上搜索。

1、XILINX语法约束举例说明序号页码关键字举例及描述1.10TIMESPEC/FROM TOTIMESPEC TS01=FROM FFS TO FFS 30;时序约束TS01规定从触发器到触发器的最大时间为30ns2.10TIMESPEC/FROM TOTIMESPEC TS02=FROM LATCHES TO LATCHES 25;时序约束TS02规定从锁存器到锁存器的最大时间为25ns3.10TIMESPEC/FROM TOTIMESPEC TS03=FROM PADS TO RAMS 70;时序约束TS03规定从引脚到RAMS的最大时间为70ns4.10TIMESPEC/FROM TOT

2、IMESPEC TS04=FROM FFS TO PADS 55;时序约束TS04规定从触发器到引脚的最大时间为55ns5.10TIMESPEC/FROM TOTIMESPEC TS01 = FROM BRAMS_PORTA TO BRAMS_PORTB(gork*);时序约束TS01规定所有符合下列所有条件的路径:1)从BRAMS_PORTA端口输出;2)从BRAMS_PORTB端口输入,并且BRAMS_PORTB端口的输出需要驱动与gork*相匹配的信号。6.10NET/TNM_NETNET X TNM_NET = BRAMS_PORTA groupA;时序分组groupA包含所有由网络X

3、驱动的BRAMS_PORTA端口路径。7.10NET/TNM_NETNET X TNM_NET = BRAMS_PORTB( dob* ) groupB;时序分组groupA包含所有符合下列所有条件的BRAMS_PORTB路径:1) 由网络X驱动的BRAMS_PORTB端口;2) BRAMS_PORTB至少需要驱动1个与dob*匹配的信号。8.10INST/TNMINST Y TNM = BRAMS_PORTB groupC;时序分组groupC包含所有实例Y下的BRAMS_PORTB的端口。9.10INST/TNMINST Y TNM = BRAMS_PORTA( doa* ) groupD

4、;时序分组groupD包含所有符合下列所有条件的路径:1)属于实例Y下的BRAMS_PORTA的端口;2)BRAMS_PORTA至少需要驱动1个与doa*匹配的信号。10.10TIMEGRPTIMEGRP groupE = BRAMS_PORTA;时序分组groupE包含所有双端口RAM单元中的A端口。相当于BRAMS_PORTA ( * )11.10TIMEGRPTIMEGRP groupF = BRAMS_PORTB( mem/dob* );时序分组groupF包含所有双端口RAM单元中的,输出端驱动信号中包含mem/dob*信号的B端口12.10名字限定语法predefined grou

5、p (name_qualifier name_qualifier ) 预定义组名字限定语法。其中name_qualifier是包含全部层级的,并由相应原语驱动的网络。13.22NET/TNM_NETNET netname TNM_NET=predefined_group identifier;14.29Verilog(*attribute_name*)默认值为1。与(*attribute_name=1*)意义相同。15.29Verilog(* clock_buffer = IBUFG *) input CLK;16.29Verilog(* INIT = 0000 *) reg 3:0 d_ou

6、t;17.29Verilogalways(current_state or reset)begin (* parallel_case *) (* full_case *)case (current_state)18.30Verilog(* mult_style = pipe_lut *) MULT my_mult (a, b, c);19.32疑问NET $SIG_0 MAXDELAY = 10;NET $SIG_1 MAXDELAY = 12 ns;疑问:上面是否应将$SIG_0 MAXDELAY改为$SIG_0 MAXDELAY20.33EXCEPTTIMEGRP input_pads=P

7、ADS EXCEPT output_pads;时序分组input_pads是除output_pads分组外的所有引脚。21.33保留字NET net OFFSET=IN 20 BEFORE CLOCK; 错误NET net OFFSET=IN 20 BEFORE CLOCK; 正确NET $SIG_0 OFFSET=IN 20 BEFORE CLOCK; 正确NET OUTSIG1 OFFSET=IN 20 BEFORE CLOCK; 正确22.33通配符NET *AT? FAST;将倒数第3、2个字符为AT的所有网络设置为高速属性。23.33通配符INST $1I3*/ROM2 INIT=5

8、555;将指定ROM的初始值设置为16进制的5555。24.33通配符INST /loads_of_logic/* LOC=SLICE_X*Y8;25.34HierarchyUCF Design HierarchyUCF设计的层级举例26.35多重约束INST myInst LOC = P53 | IOSTANDARD = LVPECL33 | SLEW = FAST;蒋实例myInst放置在引脚P53,IO标准为LVPECL33,摆率设置为高速27.53OFFSET IN/VALID/BEFOREOFFSET = IN value1 VALID value2 BEFORE clock;全局约

9、束,信号应在时钟clock之前value1时间有效,并且需要保持value2时间。28.53TNM_NETNET SysCLk TNM_NET = SysClk;将由SysCLk网络驱动的路径定义到分组SysClk;29.53TIMESPEC/ PERIOD/HIGHTIMESPEC TS_SysClk= PERIOD SysClk 5 ns HIGH 50%;对分组SysClk进行周期时序约束,周期5ns,占空比50%30.53OFFSET IN/VALID/BEFOREOFFSET = IN 5 ns VALID 5 ns BEFORE SysClk;信号应在时钟SysClk之前5ns有效

10、,并且需要保持5ns。31.55OFFSET IN/VALID/BEFORE/ RISINGOFFSET = IN value1 VALID value2 BEFORE clock RISING;全局约束,信号应在时钟clock上升沿之前value1时间有效,并且需要保持value2时间。32.55OFFSET IN/VALID/BEFORE/FALLINGOFFSET = IN value 1 VALID value2 BEFORE clock FALLING;全局约束,信号应在时钟clock下降沿之前value1时间有效,并且需要保持value2时间。33.55OFFSET IN/VALI

11、D/BEFORE/FALLING约束举例NET SysCLk TNM_NET = SysClk;TIMESPEC TS_SysClk= PERIOD SysClk 5 ns HIGH 50%;OFFSET = IN 1.25 ns VALID 2.5 ns BEFORE sysClk RISING;OFFSET = IN 1.25 ns VALID 2.5 ns BEFORE sysClk FALLING;34.57周期约束NET ClockName TNM_NET = TNM_NET_Name;建立以网络ClockName驱动的约束组TNM_NET_Name。TIMESPEC TS_name

12、= PERIOD TNM_NET_Name PeriodValue HIGH HighValue %;对约束组TNM_NET_Name进行周期约束TS_name,周期值为PeriodValue,周期的开始脉冲为高,占空比为HighValue %35.57周期约束举例NET ClkIn TNM_NET = ClkIn;建立以网络ClkIn驱动的约束组ClkIn。TIMESPEC TS_ClkIn= PERIOD ClkIn5 ns HIGH 50%;对约束组ClkIn进行周期约束TS_ClkIn,周期值为5ns,周期的开始脉冲为高,占空比为50%。TS_ClkIn的值为5ns36.57相关周期约

13、束语法NET PrimaryClock TNM_NET = TNM_Primary;建立以网络PrimaryClock驱动的约束组TNM_PrimaryNET RelatedClock TNM_NET = TNM_Related;建立以网络RelatedClock驱动的约束组TNM_RelatedTIMESPEC TS_primary = PERIOD TNM_Primary PeriodValue HIGH HighValue%;对约束组TNM_Primary进行周期约束TS_primary,周期值为PeriodValue,周期的开始脉冲为高,占空比为HighValue %。注意:TS_pr

14、imary中的字母都需要采用大写TIMESPEC TS_related = PERIOD TNM_Related TS_Primary_relation PHASE value;对约束组TNM_Related进行周期约束TS_related,周期值为同TS_primary相关值,相位值为value37.58相关周期约束举例NET Clk1X TNM_NET = Clk1X;建立以网络Clk1X驱动的约束组Clk1X。 NET Clk2X180 TNM_NET = Clk2X180;建立以网络Clk2X180驱动的约束组Clk2X180。TIMESPEC TS_Clk1X = PERIOD Clk1X 5 ns;对约束组Clk1X进行周期约束TS_Clk1X(字母必须全部为大写?),周期值为5nsTIMESPEC TS_Clk2X180 = PERIOD Clk2X180 TS_Clk1X/2 PHASE +1.25 ns ;对约束组Clk2X180进行周期约束TS_Clk2X180,周期为TS_Clk1X/2=2.5ns,相位比TS_Clk1X提前1.25ns38.59异

展开阅读全文
相关资源
正为您匹配相似的精品文档
相关搜索

最新文档


当前位置:首页 > 建筑/环境 > 建筑资料

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号