万年历的设计与实现

上传人:人*** 文档编号:508024371 上传时间:2023-09-09 格式:DOCX 页数:45 大小:226.38KB
返回 下载 相关 举报
万年历的设计与实现_第1页
第1页 / 共45页
万年历的设计与实现_第2页
第2页 / 共45页
万年历的设计与实现_第3页
第3页 / 共45页
万年历的设计与实现_第4页
第4页 / 共45页
万年历的设计与实现_第5页
第5页 / 共45页
点击查看更多>>
资源描述

《万年历的设计与实现》由会员分享,可在线阅读,更多相关《万年历的设计与实现(45页珍藏版)》请在金锄头文库上搜索。

1、学校代码 学号分类号 TP密级 公开本科毕业论文(设计)万年历的设计与实现学院、系鄂尔多斯学院电子信息工程系专业名称 年级自动化2011级学生姓名张文博指导教师王俊林2013 年 6月 8 日万年历的设计与实现 摘要 本设计为一个多功能的万年历,具有年、月、日、时、分、秒计 数显示功能,以 24小时循环计数,具有校对功能。本设计采用 EDA 技术,以硬件描述语言verilog HDL和VHDL为系统逻辑描述手段设计 文件,在Quartus II工具软件环境下,采用自顶向下的设计方法,由 各个基本模块共同构建了一个基于KH-310开发工具的万年历。系统主 芯片采用EP1C12Q240C8,由主程

2、序和BCD模块组成。经编译和仿真所 设计的程序,在可编程逻辑器件上下载验证,本系统通过控制能够完 成年、月、日和时、分、秒的分别显示,由按键输入进行数字钟的校 时、切换、扫描功能。关键字:VHDL Verilog HDL EDA 万年历目录1绪论11.1 选题背景 11.2课题相关技术的发展 11.3 课题研究的必要性 21.4课题研究的内容 32 EDA技术42.1 EDA 概述.42.2什么是EDA. 42.3 EDA的特点53 FPGA 简介73.1 FPGA 概述73.2 FPGA开发编程原理73.3FPGA基本结构83.4 FPGA系统设计流程104万年历设计方案 144.1万年历的

3、原理 144.2 实验程序144.3 实验连接 344.4 实验仿真与实现 365实验结论与研究展望. 385.1实验结论 385. 2研究展望 38致谢39参考文献. 401绪论11选题背景20世纪末,数字电子技术飞速发展,有力的推动了社会生产力 的发展和社会信息化的提高。在其推动下,数字技术的应用已经渗 透到人类生活的各个方面。从计算机到手机,从数字电话到数字电 视,从家用电器到军用设备,从工业自动化到航天技术,都尽可能 的采用了数字电子技术。而现代电子设计技术的核心是 EDA技术。本设计采用的VHDL和Verilog HDL是两种应用最为广泛硬件描述语言。前者具有很强大的功能,覆盖面广,

4、描述能力强,支持门级 电路的描述,也支持以寄存器、存储器、总线及其运算单元等构成的 寄存器传输级电路的描述,还支持以行为算法和结构的混合描述为对 象的系统级电路的描述。后者可以用来进行各种层次的逻辑设计,也 可以进行数字系统的逻辑综合、仿真验证和时序分析。而采用 Verilog HDL进行电路设计的最大优点就是设计与工艺无关性。本设计将从EDA中FPGA嵌入式应用开发技术与数字钟技术 发展的客观实际出发,通过对该技术发展状况的了解,以及课题本 身的需要,指出研究基于FPGA的芯片系统与设计一一万年历的设 计与实现的必要性。1.2课题相关技术的发展当今电子产品正向功能多元化,体积最小化,功耗最低

5、化的方向 发展。它与传统的电子产品在设计上的显著区别师大量使用大规模 可编程逻辑器件,使产品的性能提高,体积缩小,功耗降低。同时 广泛运用现代计算机技术,提高产品的自动化程度和竞争力,缩短 研发周期。EDA技术正是为了适应现代电子技术的要求,吸收众多 学科最新科技成果而形成的一门新技术。美国Altera公司的可编程逻辑器件采用全新的结构和先进的技 术,加上MaxplusII(或最新的QUARTUS)开发环境,更具有高性能, 开发周期短等特点,十分方便进行电子产品的开发和设计。EDA技术以大规模可编程逻辑器件为设计载体,以硬件描述语 言为系统逻辑描述主要表达方式,以计算机、大规模可编程逻辑器 件

6、的开发软件及实验开发系统为设计工具,通过有关的开发软件, 自动完成用软件的方式设计的电子系统到硬件系统的逻辑编译,逻 辑化简,逻辑分割,逻辑映射,编程下载等工作。最终形成集成电 子系统或专用集成芯片的一门新技术。1.3课题研究的必要性如今的时代是科技是第一生产力的时期。因此,新产品、新技 术层出不穷,电子技术的发展更是日新月异。在这快速发展的年代, 时间对人们来说是越来越宝贵,在快节奏的生活时,人们往往忘记 了时间,一旦遇到重要的事情而忘记了时间,这将会带来很大的损 失。因此我们需要一个定时系统来提醒这些忙碌的人。数字化的钟 表给人们带来了极大的方便。近些年,随着科技的发展和社会的进 步,人们

7、对数字钟的要求也越来越高,传统的时钟已不能满足人们 的需求。多功能,样式新颖已经成为数字钟的发展潮流。1.4课题研究的内容本设计主要研究基于FPGA的数字钟,要求时间以24小时为一个 周期,显示年、月、日、时、分、秒,可以对年、月、日、时、分及 秒进行单独校对,使其校正到标准时间。因此,研究数字钟及扩大其 应用,有着非常现实的意义。2 EDA技术2.1 EDA概述EDA在通信行业(电信)里的另一个解释是企业数据架构,EDA 给出了一个企业级的数据架构的总体视图,并按照电信企业的特征, 进行了框架和层级的划分。“ EDA是电子设计自动化(ElectronicDesign Automation )

8、的缩写,在20世纪60年代中期从计算机辅助设计(CAD)、计算机辅助制造(CAM)、计算机辅助测试(CAT)和计算 机辅助工程(CAE)的概念发展而来的。”2.2什么是EDA20世纪90年代,国际上电子和计算机技术较先进的国家,一 直在积极探索新的电子电路设计方法,并在设计方法、工具等方面 进行了彻底的变革,取得了巨大成功。在电子技术设计领域,可编 程逻辑器件(如CPLD、FPGA )的应用,已得到广泛的普及,这些 器件为数字系统的设计带来了极大的灵活性。这些器件可以通过软 件编程而对其硬件结构和工作方式进行重构,从而使得硬件的设计 可以如同软件设计那样方便快捷。这一切极大地改变了传统的数字

9、系统设计方法、设计过程和设计观念,促进了 EDA技术的迅速发展。EDA技术就是依靠功能强大的电子计算机,在 EDA工具软件 平台上,对以硬件描述语言 HDL (Hardware Description Language ) 为系统逻辑描述手段完成设计文件,自动地完成逻辑编译、化简、 分割、综合、优化、仿真,直至下载到可编程逻辑器件CPLD/FPGA 或专用集成电路 ASIC(Application Specific Integrated Circuit) 芯片 中,实现既定的电子电路设计功能。EDA技术使得电子电路设计者 的工作仅限于利用硬件描述语言和EDA软件平台来完成对系统硬 件功能的实现

10、,极大地提高了设计效率,缩短了设计周期,节省了 设计成本。今天,EDA技术已经成为电子设计的重要工具,无论是设计芯 片还是设计系统,如果没有EDA工具的支持,都将难以完成的。 EDA工具已经成为现代电路设计师的重要武器,正在发挥着越来越 重要的作用。2.3 EDA的特点(1) 高层综合和优化。为了能更好地支持自顶向下的设计 方法,现代的EDA工具能够在系统进行综合和优化,这样就缩 短了设计的周期,提高了设计效率。(2) 采用硬件描述语言进行设计。采用硬件描述语言进行电 路与系统的描述是当前EDA技术的另一个特征。与传统的原理图设 计方法相比,HDL语言更适合描述规模大的数字系统,它能够使设 计

11、者在比较抽象的层次上对所设计系统的结构和逻辑功能进行描 述。采用HDL语言设计的突出优点是:语言的公开性和利用性;设 计与工艺的无关性;宽范围的描述能力;便于组织大规模系统的设 计;便于设计的复用,交流,保存和修改等。目前最常用的硬件描 述语言有VHDL和Verilog HDL,它们都已经成为IEEE标准。(3) 开放性和标准化。现代EDA工具普遍采用标准化和开放性 框架结构,任何一个EDA系统只要建立了一个符合标准的开放式框 架结构,就可以接纳其他厂商的EDA工具仪器进行设计工作。这样 就可以实现各种EDA工具的优化组合,并集成在一个易于管理的统 一环境下,实现资源共享。3 FPGA简介3.

12、1 FPGA 概述“FPGA (Field Programmable Gate Array ),即现场可编程门阵 列,它是在PAL、GAL、CPLD等可编程器件的基础上进一步发展的 产物。它是作为专用集成电路(ASIC)领域中的一种半定制电路而 出现的,既解决了定制电路的不足,又克服了原有可编程器件门电路 数有限的缺点。”3.2FPGA开发编程原理FPGA采用了逻辑单元阵列LCA( Logic Cell Array)这样一个概 念,内部包括可配置逻辑模块CLB ( Configurable Logic Block)、输出 输入模块IOB (Input Output Block)和内部连线(In

13、terconnect)三个 部分。现场可编程门阵列(FPGA)是可编程器件,与传统逻辑电路 和门阵列(如PAL,GAL及CPLD器件)相比,FPGA具有不同的结构。 FPGA利用小型查找表(16X1RAM)来实现组合逻辑,每个查找表连 接到一个D触发器的输入端,触发器再来驱动其他逻辑电路或驱动 I/O,由此构成了既可实现组合逻辑功能又可实现时序逻辑功能的基 本逻辑单元模块,这些模块间利用金属连线互相连接或连接到I/O模块。“ FPGA的逻辑是通过向内部静态存储单元加载编程数据来实现的,存储在存储器单元中的值决定了逻辑单元的逻辑功能以及各模块 之间或模块与I/O间的联接方式并最终决定了 FPGA

14、所能实现的功能, FPGA允许无限次的编程。”3.3 FPGA基本结构FPGA具有掩膜可编程门阵列的通用结构,它由逻辑功能块排成 阵列,并由可编程的互连资源连接这些逻辑功能块来实现不同的设 计。FPGA 一般由3种可编程电路和一个用于存放编程数据的静态存 储器SRAM组成。这3种可编程电路是:可编程逻辑模块(CLB-Configurable Logic Block)、输入/输出模块(IOB-I/O Block) 和互连资源(IR一Interconnect Resource )。“可编程逻辑模块CLB是 实现逻辑功能的基本单元,它们通常规则的排列成一个阵列,散布于 整个芯片;可编程输入/输出模块

15、(IOB)主要完成芯片上的逻辑与外 部封装脚的接口,它通常排列在芯片的四周;可编程互连资源包括各 种长度的连接线段和一些可编程连接开关,它们将各个CLB之间或CLB、IOB之间以及IOB之间连接起来,构成特定功能的电路 。”(1) CLB是FPGA的主要组成部分。它主要由逻辑函数发生器、触 发器、数据选择器等电路组成。CLB中3个逻辑函数发生器分别是G、 F和H,相应的输出是G、F和H。G有4个输入变量G1、G2、G3和 G4; F也有4个输入变量F1、F2、F3和F4。这两个函数发生器是完全 独立的,均可以实现4输入变量的任意组合逻辑函数。逻辑函数发生 器H有3个输入信号;前两个是函数发生器的输出G和F,而另一个输 入信号是来自信号变换电路的输出H1。这个函数发生器能实现3输入 变量的各种组合函数。这3个函数发生器结合起来,可实现多达9变量 的逻辑函数。CLB中有许多不同规格的数据选择器(四选一、二选一等),通 过对CLB内部数据选择器的编程,逻辑函数发生器G、F和H的输出可 以连接到CLB输出端X或Y,并用来选择触发器的激励输入信号、时 钟有效边沿、时钟使能信号以及输出信号。这些数据选择器的地址控 制信号均由编程信息提供,从而实现所需的电路结构。“CLB中的逻辑函数发生器F和G均为查找表结构,其工作原理类 似于ROM。F和G的输入等效于ROM的地址

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 学术论文 > 其它学术论文

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号