基于DDS技术的信号发生器设计

上传人:大米 文档编号:507768526 上传时间:2023-03-22 格式:DOC 页数:64 大小:2.43MB
返回 下载 相关 举报
基于DDS技术的信号发生器设计_第1页
第1页 / 共64页
基于DDS技术的信号发生器设计_第2页
第2页 / 共64页
基于DDS技术的信号发生器设计_第3页
第3页 / 共64页
基于DDS技术的信号发生器设计_第4页
第4页 / 共64页
基于DDS技术的信号发生器设计_第5页
第5页 / 共64页
点击查看更多>>
资源描述

《基于DDS技术的信号发生器设计》由会员分享,可在线阅读,更多相关《基于DDS技术的信号发生器设计(64页珍藏版)》请在金锄头文库上搜索。

1、 毕业设计(论文)基于DDS技术的信号发生器设计系 别自动化工程系专 业自动化班 级50605姓 名吴温龙指导教师任良超2010年6月18日 摘 要 频率合成技术广泛应用于通信、航空航天、仪器仪表等领域,目前,常用的频率合成技术有直接频率合成、锁相频率合成和直接数字频率合成(DDS)等。其中DDS是一种新的频率合成方法,是频率合成的一次革命。全数字化的DDS技术由于具有频率分辨率高、频率切换速度快、相位噪声低和频率稳定度高等优点而成为现代频率合成技术中的佼佼者。随着数字集成电路、微电子技术和EDA技术的深入研究,DDS技术得到了飞速的发展。DDS是把一系列数字量化形式的信号通过D/A转换形成模

2、拟量形式的信号的合成技术。主要是利用高速存储器作查寻表,然后通过高速D/A转换产生已经用数字形式存入的正弦波(或其它任意波形)。一个典型的DDS系统应包括以下三个部分:相位累加器可以时钟的控制下完成相位的累加:相位一幅度码转换电路一般由ROM实现;D/A转换电路,将数字形式的幅度码转换成模拟信号。现场可编程门阵列(FPGA)设计灵活、速度快,在数字专用集成电路的设计中得到了广泛的应用。本论文主要讨论了如何利用FPGA来实现一个DDS系统,该DDS系统的硬件结构是以FPGA为核心实现的,使用Altera公司的Cyclone系列FPGA。文章首先介绍了频率合成器的发展,阐述了基于FPGA实现DDS

3、技术的意义;然后介绍了DDS的基本理论;接着介绍了FPGA的基础知识如结构特点、开发流程、使用工具等;随后介绍了利用FPGA实现直接数字频率合成(DDS)的原理、电路结构、优化方法等。重点介绍DDS技术在FPGA中的实现方法,给出了部分VHDL源程序,还有有关于单片机的各外围电路的硬件构成及滤波的原理及应用电路等。本次毕业设计采用单片机和FPGA设计了任意波形发生器,能够产生三角波、正弦波、方波,完成了软件和硬件的设计,以及实物的制作。关键词:直接数字频率合成,现场可编程门阵列,单片机,滤波Signal Generator Design Based DDS TechnologyAuthor:W

4、u WenlongTutor:Ren LiangchaoAbstractFrequency synthesis technique is widely used in communications, aerospace, instrumentation and other fields, now commonly used in frequency synthesis technology has a direct frequency synthesis, PLL frequency synthesizer and direct digital synthesis (DDS) and so o

5、n. DDS which is a new frequency synthesis method is a revolutionary synthesizer. Fully digital DDS technology as a high frequency resolution, frequency switching speed, low phase noise and frequency stability of the advantages and become a modern high frequency synthesis technology leader. As digita

6、l integrated circuits, microelectronics and EDA technology, in-depth research, DDS technology has developed rapidly. DDS is to quantify the form of a series of digital signal through D / A converter analog form of signal the formation of synthesis technology. Mainly used for high-speed memory lookup

7、 table, and then through the high-speed D / A Converter generated in digital form have been deposited with the sine wave (or other arbitrary waveforms). A typical DDS system should include the following three parts: the phase accumulator can be under the control of clock phase of accumulation: the p

8、hase of a range of code switching circuit generally realized by the ROM; D / A converter circuit, the digital code into the form of rate analog signals. Field programmable gate array (FPGA) design flexibility, speed, in digital ASIC design has been widely used. This paper focuses on how to use FPGA

9、to implement a DDS system, the hardware structure of the DDS core is based on FPGA implementation, use Alteras Cyclone series FPGAThe article first describes the development of frequency synthesizers, which are based on FPGA technology to achieve the significance of DDS; then introduced the basic th

10、eory of DDS; then introduces the basics of FPGA, such as structural characteristics, development processes, tools, etc.; then introduced the use of FPGA realization of direct digital frequency synthesis (DDS) in principle, circuit structure, optimization methods. DDS technology focuses on the implem

11、entation method in the FPGA, VHDL source code is given some, there are various peripherals on the MCU hardware configuration of the circuit and filter theory and application circuit.The graduation project was designed with MCU and FPGA arbitrary waveform generator, can generate triangle wave, sine w

12、ave, square wave, completed the design of hardware and software, as well as in-kind production.Key words:Direct Digital Frequency Synthesis,FPGA,MCU,Filter目 录1 绪论11.1频率合成技术的发展11.1.1直接模拟频率合成11.1.2锁相环频率合成21.1.3直接数字频率合成21.2频率合成器的性能指标41.3本文的主要内容51.4本课题的研究意义52 DDS技术的原理72.1 DDS的工作原理72.2 DDS的基本结构82.3本章小结10

13、3 CPLD/FPGA的发展及其应用113.2 VHDL硬件描述语言介绍123.3 CPLD/FPGA设计流程及工具133.3.1 CPLD/FPGA设计流程143.3.2 CPLD/FPGA的开发工具QuartusII简介163.4 Cyclone II 的各种性能指标173.5 FPGA的配置方式183.5本章小结194 基于DDS技术的信号发生器的设计204.1信号发生器的方案选择204.2系统总体的硬件框图204.3功能模块的介绍及电路介绍214.3.1系统稳压电源模块214.3.2单片机子系统224.3.4 FPGA的芯片EPC8简介244.3.5 D/A转换模块254.3.6 RM

14、S模块264.3.7 滤波电路模块264.3.8抗干扰处理294.3.9 印刷电路板设计304.4单片机各模块软件设计314.4.1 系统初始化324.4.2 LCD的程序334.4.3 键盘输入程序334.4.4 SPI通信子程序354.4.5 RMS子程序354.5. 基于FPGA系统结构设计和模块的划分364.5.1系统时钟模块364.5.2.SPI通信数据接收模块374.5.3频率控制模块374.5.4调频控制模块404.5.5波形数据文件的生成414.6本章小结415 调试42总 结45工作总结45工作展望45致 谢47参考资料48附录491 绪论1.1频率合成技术的发展传统移动通信

15、设备中,接受机的本振和发射机的激励信号源通常使用晶体振荡器,这种方案电路简单、稳定可靠,但当需要信道数目较多时,晶振的种类和数量就要相应增多,体积和成本就会增加,无法实现小型化、模块化和智能化。无线电技术的发展,对信号源提出了越来越高的要求,既要求射频信号源的频率稳定度和准确度高,而且要求可以方便的转换频率。石英晶体振荡器的频率稳定度和准确度很高,但转换频率不方便,而LC振荡器转换频率方便,但稳定度和准确度不高。近年来迅速发展的频率合成技术,结合了以上两种振荡器的优点,满足了以上两个方面的要求1。频率合成技术开始于上世纪30年代,到现在已有近80年的历史了。所谓频率合成就是将具有低相位噪声、高精度和高稳定度等综合指标的参考频率源经过电路上的混频、倍频或分频等信号处理以便对其进行数学意义上的加、减、乘、除等四则运算,从而产生大量具有同样精确度的频率源。实现频率合成的电路叫频率合成器,频率合成器是现代电

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 办公文档 > 工作计划

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号