搭建NC环境(附数据库安装)手册

上传人:pu****.1 文档编号:506287541 上传时间:2023-03-13 格式:DOCX 页数:18 大小:2.23MB
返回 下载 相关 举报
搭建NC环境(附数据库安装)手册_第1页
第1页 / 共18页
搭建NC环境(附数据库安装)手册_第2页
第2页 / 共18页
搭建NC环境(附数据库安装)手册_第3页
第3页 / 共18页
搭建NC环境(附数据库安装)手册_第4页
第4页 / 共18页
搭建NC环境(附数据库安装)手册_第5页
第5页 / 共18页
点击查看更多>>
资源描述

《搭建NC环境(附数据库安装)手册》由会员分享,可在线阅读,更多相关《搭建NC环境(附数据库安装)手册(18页珍藏版)》请在金锄头文库上搜索。

1、搭建NC环境一、 Oracle 10g的安装程序1.在安装盘上打开命名中含有“database”的 文件夹,会看到setup.exe 的安装文件,双击点开进行数据库的安装,如图:在Oracle 主书目位置可以通过点“阅读”进行选择,“全局数据名”必需填“orcl”,数据库口令可以填“orcl”,也可以填其它;2安装条件检查:在下图红色笔圈定的区域中点击空白方形图,使状态变为“用户已验证”3点击安装,如图:然后会呈现以下界面,如图:接下来数据库安装结束,点击“退出”。二、 Oracle 10g的客户端的安装1. 在安装盘上打开命名中含有“client”的 文件夹,会看到setup.exe 的安装

2、文件,双击点开进行客户端的安装,如图:点击“下一步”,然后选择“管理员”,如图:2选择安装客户端的路径(与数据库在同一路径下)如图:然后点“下一步”,在后面的步骤中,“服务器名”必需填“orcl”,“主机名”必需填“”。3客户端安装结束,点击“退出”,如图:三、 配置Oracle 10g1.点击“起先”“全部程序”“Oracle-OraClient10g_homel”“Enterprise Manager Console”,会出现如下界面:“主机名”必需填“”,“SID”必需填“orcl“,然后“确定”,再双击“数据库”,如图:“用户名”填“SYS”,“口令”可以随意输入,“连接身份”选择“S

3、YSDBA”,然后“确定”。2建六个表空间,要求如下: UFIDA用友软件 NC管理软件5.02 在运用Oracle 9i 或 10g 数据库时布局要求:建立NNC_DATA01、NNC_DATA02、NNC_DATA03、NNC_INDEX01、NNC_INDEX02、NNC_INDEX03 六个表空间,对6个表空间的最小大小有详细要求。详细数据文件存放位置、存放形式、数据文件个数没有限制,在详细运用中须要依据实际状况修改数据文件存储的位置和大小,达到磁盘最大读写效率。 对于表空间的管理建议如下: NNC_DATA01 区管理选择本地管理,统一安排的大小设为256 KB NNC_DATA02

4、 区管理选择本地管理,统一安排的大小设为256 KB NNC_DATA03 区管理选择本地管理,统一安排的大小设为512 KB NNC_INDEX01 区管理选择本地管理,统一安排的大小设为128 KB NNC_INDEX02 区管理选择本地管理,统一安排的大小设为128 KB NNC_INDEX03 区管理选择本地管理,统一安排的大小设为256 KB temp 用户临时表空间,名称可以自己确定,但在建立用户时须要指定默认临时表空间,大小自动增长。 NC的六个表空间都须要安排限额,限额选择无限制。 ORACLE数据库须要调整用户临时表空间大小,建议最小值300M,文件增长不受限制下面以NNC_

5、DATA01为例,演示建表空间的步骤:首先双击“存储” “表空间”,然后选中“表空间”单击右键,选择“创建”,如图:选则“统一安排”,然后“大小”按表空间给出的要求填,选“创建”,按此步骤创建六个表空间后,统一改红色线条圈定的区域(注:“大小”建议改为5001000之间的数,可选边界值)3建用户:双击“平安性” “用户”,选择“用户”,单击右键,选择“创建”如图:在“名称”、“输入口令”里可以随意填,但要记住填的内容,“默认值”选“NNC_DATA01”,“临时”选“TEMP”,然后点击“角色”页签,选“DBA”后单击下拉箭头,在“管理选项”都打上对号,如图单击“系统”页签,选择“UNLIMI

6、TED TABLESPACE”,点下拉箭头,最终点“创建”,如图:四、 安装NC产品1. 安装代码:首先在安装盘中选择命名为“nc_uap”文件夹打开,双击“ncsetup”进行安装。(最好在安装前在非系统盘建立一个命名为nchome的文件夹,那么在安装UAP第三步“选择安装路径”时选择“nchome ”文件夹所在路径,或者干脆把路径中的C改为D,N并且书目中安装路径不要带有空格等特别符号,假如是升级安装则同时还须要选择老版本的路径。)2. 其他产品代码也要安装在nchome路径下,即安装到和uap同一书目下;在安装过程中,可以看到系统中已安装的产品模块,如图:3配置中间件:在nchome文件

7、夹中出名字为bin的文件夹,打开后,双击ncSysConfig文件夹,点“读取”再点“添加”数据源,随意填几个字母(注:添加报表的数据源必需输入iufo),数据库类型选“ORACLE10G”,数据库/ODBC名为“orcl”,“数据源OID标识”为“大写英文字母数字”组成,用户名和密码是我们在数据库里面创建过的(假如安装的产品中有报表,必需创建两个用户,其中一个就是报表的用户。)然后点“保存”,再点“测试”,通过即可进行下一步;假如想要修改网络的连接端口,可以点“Service”,如图:点击“log“,设置日志级别以及输出策略如图:最终是在Deployment里部署EJB,点击Select All,然后点deploy,就会出现“操作运行中,请等待”如图 部署胜利请参照下图:启动中间件:双击nchome文件夹会看到startup文件,双击即可启动,出现如下图界面即启动完毕。

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 办公文档 > 工作计划

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号