计算机组成原理第五版实验报告

上传人:博****1 文档编号:505591397 上传时间:2023-10-28 格式:DOCX 页数:39 大小:440.43KB
返回 下载 相关 举报
计算机组成原理第五版实验报告_第1页
第1页 / 共39页
计算机组成原理第五版实验报告_第2页
第2页 / 共39页
计算机组成原理第五版实验报告_第3页
第3页 / 共39页
计算机组成原理第五版实验报告_第4页
第4页 / 共39页
计算机组成原理第五版实验报告_第5页
第5页 / 共39页
点击查看更多>>
资源描述

《计算机组成原理第五版实验报告》由会员分享,可在线阅读,更多相关《计算机组成原理第五版实验报告(39页珍藏版)》请在金锄头文库上搜索。

1、实验报告1实验名称运算器组成:实验微程序控制器方式和独立方式实验地点实验日期成绩1 .熟悉逻辑测试笔的使用方法。2 .熟悉TEC-8模型计算机的节拍脉冲T1、T2、T3;3 .熟悉双端口通用寄存器组的读写操作;实验目的4.熟悉运算器的数据传送通路;5 .验证74LS181的力口、减、与、或功能;6 .按给定的数据,完成几种指定的算术、逻辑运算运算。7 .按照表中提供的功能自行验证其中几种即可。(独立方式)微程序控制器实验原理双端口寄存器组由1片EPM7064(U40)(图中用虚线围起来的部分)组成,内部包含4个8位寄存器RRR1、R2、R3,4选1选择器A,4选1选择器B和1个2-4译码器。根

2、据信号RD1、RD0的值,4选1选择器A从4个寄存器中选择1个寄存器送往ALU的A端口。根据信号RS幺RS0的值,4选1选择器B从4个寄存器中选择1个寄存器送往ALU的B端口。2-4译码器对信号RD1、RD0进行译码,产生信号LR。LR2、LR3LR4,任何日t刻这4个信号中只有一个为1,其它信号为0。LR3LR0指示出被写的寄存器。当DRW信号为1时,如果LR0为1,则在T3的上升沿,将数据总线DBUS上的数写入R0寄存器,余类推。数据开关SD7SD0是8个双位开关。用手拨动这些开关,能够生成需要的SD7SD0的值。数据开关驱动器SWD是1片74LS244(U50)在信号SBUS为1时,SD

3、7SD0通过SWD送往数据总线DBUS在本实验中,使用数据开关SD7SD0设置寄存器RRR1、R2和R3的值。ALU由2片74LS181(U41和U42)、1片74LS741片74LS2441片74LS245和1片74LS30构成。74LS181完成算术逻辑运算,74LS245和74LS30产生Z标志,74LS74保存标志C和标志Z。ALU对A7A0和B7B0上的2个8位数据进行算术逻辑运算,运算后的数据结果在信号ABUS为1时送数据总线DBUS(D7D0)运算后的标志结果在T3的上升沿保存进位标志位C和结果为0标志位Z。加法和减法同时影响C标志和Z标志,与操作和或操作只影响Z标志。应当指出,

4、74LS181只是许多种能做做算术逻辑运算器件中的一种器件,这里它仅作为一个例子使用。74LS181能够进行4位的算术逻辑运算,2片74LS181级连在一起能够8位运算,3片74LS181级连在一起能够进行12位运算,余类推。所谓级联方式,就是将低4位74LS181的进位输出引脚Cn+4与高4位74LS181的进位输入引脚Cn连接。在TEC-8模型计算机中,U42完成低4位运算,U41完成高4位运算,二者级连在一起,完成8位运算。在ABUS为1时,运算得到的数据结果送往数据总线DBUS数据总线DBUS有4个信号来源:运算器、存储器、数据开关和中断地址寄存器,在每一时刻只允许其中一个信号源送数据

5、总线。实验设备软件平台等序列号名称1TEC-8实验系统2双踪示波器3直流力用表4逻辑测试笔实验内容与实验记录(拓扑图配置图实验步骤等)实验步骤1 .实验准备将控制器转换开关拨到微程序位置,将编程开向上位置。打开电源。2 .用逻辑测试笔测试节拍脉冲信号T1、T将逻辑测试笔的一端插入TEC-8实验台上插入T1”上方的插孔中。按复位按钮CLR使时序信号发生器复位。按一次逻辑测试笔框内的Reset按钮,使灯D1、D0均灭。次启动按钮QD,这时指示灯D1、D脉冲;如果再次QD按钮,则指示灯D一个T1脉冲;继续按QD按钮,可以看到在就产一个ti脉冲。用同样的方法测试T2、T3。数量备注1台1台1块1支在实

6、验箱上方J流程图线路图效果图代码(段运行结果关设置为正常位置,将开关DP拨到2、T3二的逻辑测试笔”上面的插孔中,另一端1逻辑测试笔上的脉冲计数器复位,2个黄)0的状态应为01B,指示产生了一个T1)1、D0的状态应当为10B,表示又产生了E单周期运行方式下,每Q次QD按钮,3.进行加、减、与、或实验设置加、减、与、或实验模式按复位按钮CLR使TEC-8实验系统复位。指示灯然A51A0显示00H。将操作模式开关设置为SWC=1SWB=QSWA=1准备进入加、减、与、或实验。按一次QD按钮,产生一组节拍脉冲信号T1、T2、T3,进入加、减、与、或实验。设置数A指示灯AA51A0显示0BH。在数据

7、开关SD7SD0设置数A。在数据总线DBUS指示灯D7D0上可以看到数据设置的正确不正确,发现错误需及时改正。设置数据正确后,按一次QD按钮,将SD7SD0上的数据写入R0,进入下一步。设置数B指示灯MA5mA0显示15H。这时R0已经写入,在指示灯B7B0上可以观察到R0的值。在数据开关SD7SD0设置数Bo设置数据正确后,按一次QD按钮,将SD7SD0的数据写入R1,进入下一步。进行加法运算指示灯MA5mA0显示16H。指示灯A7A0显示被加数A(R0),指示灯B7B0显示加数B(R1),D7D0指示灯显示运算结果A+B。按一次QD按钮,进入下一步。进行减法运算指示灯MA5mA0显示17H

8、。这时指示灯C(红色)显示加法运算得到的进位C,指示灯Z(绿色)显示加法运算得到的结果为0信号。指示灯A7A0显示被减数A(R0),指示灯B7B0显示减数B(R1),指示灯D7D0显示运算结果A-B。按一次QD按钮,进入下一步。进行与运算指示灯MA5mA0显示18H。这时指示灯C(红色)显示减法运算得到的进位C,指示灯Z(绿色)显示减法运算得到的结果为0信号。指示灯A7A0显示数A(R0指示灯B7B0显示数B(R1),指示灯D7D0显示运算结果AandB。按一次QD按钮,进入下一步。进行或运算指示灯然A5mA0显示19H。这时指示灯Z(绿色)显示与运算得到的结果为0信号。指示灯C保持不变。指示

9、灯A7A0显示数A(R0),指示灯B7B0显示数B(R1),指示灯D7D0显示运算结果AorB。次QD按钮,进入下一步。结束运算指示灯MA51A0显示00H。这时指示灯Z(绿色)显示或运算得到的结果为0信号。才玩灯C保持不变。按照上述步骤,对要求的7组数据进行运算。实验结果实验数据实验结果数A数B加减与或数据结果CZ数据结果CZ数据结果Z数据结果Z0F0H10H0H00E0H1110H0F0H003H05H08H00FEH0001H007H0独立方式效用总缝口BUS上图标识出了本实验所用的运算器数据通路图。参与运算的数据首先通过试验台操作板上的8个二进制数据开关SD7-SD冰设置,然后输入到双

10、端口通用寄存器堆RF中。双端口寄存器堆RF由1个ALTERAEPM706实现,功能相当于4个八位通用寄存器,用于保存参与运算的数据,运算后的结果也要送到双端口通用寄存器堆RF中保存。双端口寄存器堆模块RF的控制信号中RD1、RD0用于选择送ALU的A端口(左端口)的通用寄存器。RS幺RS0用于选择送ALU的B端口(右端口)的通用寄存器。按图所示,将运算器模块与实验台操作板上的线路进行连接。由于运算器模块内部的连线已经由印制电路板连接好,故接线任务仅仅是完成数据开关、控制信号模拟开关、与运算模块的外部连线。特别注意:为了建立清楚的整机概念,培养严谨的科研能力,手工连线是绝对有必要的。2.用开关K

11、15-K0向通用寄存器堆RF内的R3-R0寄存器置数据。然后读出R3-R0的数据,在数据总线DBUS上显示出来。3.验证ALU的正逻辑算术、逻辑运算功能注意:进位信号C是运算器ALU最高进Cn+4的反,既有进位为1,无进位为0。选择力式M=1逻辑运算M=0算术运算S3S2S1S0逻辑运算CN=11有进位】0000F=/AF=A0001F=/(A+B)F=(A+B)0010F=(/A)BF=A+/B0011F=0F=-1(补码形式)0100F=/(AB)F=A加A(/B)0101F=/BF=(A+B加A/B0110F=A减B减10111F=A/BF=(AB/)减11000F=/A+BF=A力口A

12、B1001F=A力口B1010F=BF=(A+/B)加AB1011F=ABF=AB减11100F=1F=A加A1101F=A+/BF=(A+B加A1110F=A+BF=(A+/B)加A1111F=AF=A减14.具体实验步骤:将控制转换”开关拨到最中间位置既独立”灯亮。第一步:测试寄存器写入和读出;【操作模式:1100】接线表和置开关如下表:名称电平控制数据开关K6K5K4K3K2K1K0功能信号名称RD1RD0DRWSBUSRS1RS0MBUS置R0操作模式11置R1写REG操作模式:1100111置R2111置R31111备注:写寄存器完成后可以直接在写寄存器操作模式下,通过K6、K5拨动

13、开关查看写入寄存器中的数据,对应的数据灯:A7A0。通过K2、K1拨动开关也可以查看写入寄存器中的数据,对应的数据灯B7B0第二步:运算器实验【操作模式:1101】接线表和置开关如下表:名称K15K14K13K12K11K10K9K8序号MS0S1S2S3CINABUSLDC运算器组成操作系统:1101送两个数到REGK5K6,K1K2分别选择加与被加11111名称K7K6K5K4K3K2K1K0序号LDZRD1RD0DRWSBUSRS1RS0MBUS11备注:运算器实验答案只提供了加法运算的控制信号,其他运算功能请参考上页中ALU表的运算逻辑功能即可。实验结果实验数据实验结果数A数B加减与或数据结果CZ数据结果CZ数据结果Z数据结果Z0F0H10H0H00E0H

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 商业/管理/HR > 营销创新

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号