885191286基于FPGA的电子琴设计

上传人:鲁** 文档编号:505447568 上传时间:2024-02-04 格式:DOC 页数:12 大小:121KB
返回 下载 相关 举报
885191286基于FPGA的电子琴设计_第1页
第1页 / 共12页
885191286基于FPGA的电子琴设计_第2页
第2页 / 共12页
885191286基于FPGA的电子琴设计_第3页
第3页 / 共12页
885191286基于FPGA的电子琴设计_第4页
第4页 / 共12页
885191286基于FPGA的电子琴设计_第5页
第5页 / 共12页
点击查看更多>>
资源描述

《885191286基于FPGA的电子琴设计》由会员分享,可在线阅读,更多相关《885191286基于FPGA的电子琴设计(12页珍藏版)》请在金锄头文库上搜索。

1、基于FPGA的电子琴设计摘要: 文中介绍了电子琴系统的整体设计,并基于超高速硬件描述语言VHDL在Xilinx公司的Spartan系列的2sc200PQ208-5芯片上编程实现.电子琴系统的设计包含四个模块,分别是控制输入电路、FPGA、显示电路和扬声器电路。其中FPGA模块的设计是整个电子琴系统设计的核心内容。四个模块的有机组合完成了电子琴自动演奏的功能。文中还详细介绍了FPGA功能模块的原理及其工作时序仿真图。本产品的特点是成本较低,性能稳定,精度高,有一定的开发价值。关键词: 现场可编程逻辑器件FPGA 超高速硬件描述语言VHDL 电子琴系统 自动演奏Design of Electron

2、ics_orgon system based on FPGAAbstract: It will introduce the integrate designelectronics_orgon system based on FPGA. The design will be achieved in the 2sc2005pq208 chipof Xilinx corporation with the VHDL(Very High-speed Description-Language) The design of Electronics_orgon System consist of 4 part

3、s, they are control input circuit、FPGA、display circuit and speaker circuit. The program design of FPGA is the core of the system design. Four parts combined to achieve the automatic play electronics_orgon system. the article illuminates the detail of the basic law of FPGA module and its working timi

4、ng characteristics. Since the most specialty of the product is its low cost、high precision, its worth developing.Keyword: FPGA VHDL Electronics_orgon system Automatic play 目 录第一章 整体设计方案 2 1.1 设计要求 2 1.2 整体设计原理 2 1.3 方案比较 3第二章 单元电路设计3 2.1 FPGA模块的原理 3 2.1.1 MHZ_generator模块的原理 3 2.1.2 NoteTabs模块的原理4 2.

5、1.3 ToneTaba模块的原理 4 2.1.4 Speakera模块的原理 4 2.2 其他模块的原理简介 5第三章 软件设计 5第四章 系统测试 6第五章 结论 6附录 7参考文献 11第一章 整体设计方案1.1 设计要求音乐是一种享受,人们的生活中无时无刻不充满着音乐的气息,电子琴如今已成为一种时尚.设计要求:用户设计自己喜爱的乐曲输入电子琴,电子琴按照设定好的乐谱自动演奏.1.2 整体设计原理本设计主要为人们业余生活增添点乐趣.方案一:采用数字逻辑电路制作,用IC 拼凑焊接实现,这种电路很直观,简单方便。但应用数字逻辑电路制作的话,使用的器件较多,连接复杂,体积大,功耗大。电路中焊点

6、和线路较多会,使成品的稳定度和精度大大降低。方案二: 采用现场可编程逻辑器件(FPGA)制作,利用EDA软件中的VHDL硬件描述语言编程进行控制,然后烧制实现.采用FPGA来设计的原理图如图1.1所示.它由控制输入电路、FPGA、显示电路和扬声器电路组成。控制输入电路显示电路FPGA扬声电路图1.1 采用FPGA设计的电子琴原理方框图 控制输入电路主要是为用户设计的,起到一个输入控制的作用.FPGA是现场可编程逻辑器件,也是本设计方案的核心内容,它是实现电子琴运作的主要控制模块.由设计者把编好的VHDL程序烧制到现场可编程逻辑器件FPGA中,然后通过控制输入电路把乐谱输入到FPGA,产生不同的

7、频率驱动扬声器,发出不同的乐谱.同时也把发出的乐谱符号通过显示器输出.方案三: 单片机现在已经达到很成熟的阶段了,它的应用也十分广泛. 采用单片机来实现电子琴,它的原理方框图与用FPGA来实现的原理方框图类似,如图1.2所示.控制输入电路显示电路MCU(单片机)扬声器电路图1.2 采用单片机实现电子琴的原理方框图 图1.1和图1.2的基本原理都相同,唯一不同的是一个是用FPGA来制作一个是用单片机来实现.采用单片机来实现电子琴,主要的核心是单片机的设计.1.3 方案比较 对于电子琴的设计,三个方案均可以实现,但是第一个方案中采用的是数字逻辑电路来制作,该电路硬件所需的器材多,体积庞大,比较复杂

8、,而且精度和稳定度都不是很高.第二个方案采用的是现场可编程逻辑器件来实现, 它的优点是所有电路集成在一块芯片上,此方案所需的外围电路简单,这样它的体积就减少了,同时还提高了系统的稳定度。还可以用Modelsim XE 5.3d软件进行仿真和调试等。设计人员可以充分利用VHDL硬件描述语言方便的编程,提高开发效率,缩短研发周期,降低研发成本;而且易于进行功能的扩展,实现方法灵活,调试方便,修改容易.方案三也有它的优点,但同时也存在缺点.它对设计者的要求比较高,设计者对软硬件必须十分熟悉.和方案二来比它的实验仿真没有方案二简单直观,调试也有一定的难度.在外界环境相同的条件下,方案三设计出来的产品精

9、度和稳定度要比方案二稍微差一些.因此,电子琴的设计我们选择方案二来实现.第二章 单元电路设计2.1 FPGA的原理 FPGA的设计是整个系统的中心环节,具有举足清重的作用.它的实现主要是由设计者用VHDL硬件描述语言编程实现电子琴的功能,仿真调试成功之后,再烧到FPGA器件中去.这样作出来的成品插上电源,便可脱机运行.而FPGA模块的设计又重在VHDL语言的编程实现.用VHDL语言编写的程序总的顶层模块映射原理图如图2.1所示. 它是由分频器模块、Speakera模块、NoteTabs模块和ToneTaba模块组成。标准时钟频率经分频器分频得到一个12MHZ的频率和一个8HZ的频率,并分别输入

10、Speakera模块和NoteTabs模块。从NoteTabs模块输出的是乐谱信号,该乐谱信号作为ToneTaba模块的敏感信号输入并对其进行控制。模块ToneTaba的输出Tone作为Speakera模块的输入,和12MHZ的频率共同作用与Speakera模块。同时ToneTaba模块还有两个输出,分别是code和high,两个都接外部的显示部分,只不过code显示的是乐谱,high显示的是该乐谱是高音还是低音。图2.1 顶层模块总映射原理图下面是顶层映射中各单元模块的介绍.2.1.1 MHZ_generator模块的原理 分频器的输入是一个标准时钟频率,输出是每秒12MHZ的频率和8HZ的

11、频率。其内部实际是一个计数器,根据所需要的要求进行不同的分频设计。本程序中已知标准频率为32MHZ,要得到12MHZ和8HZ的频率,通过计算我们可以确定它的分频系数. MHZ_generator模块的工作时序仿真图(略),它的程序见附录。2.1.2 NoteTabs模块的原理 NoteTabs模块其实就是一个乐谱预置器,可设置电子琴演奏的乐谱.原理很简单即来一个脉冲输出一个已设置好的乐谱.假定预先设计的乐谱为3 3 3 5 5 5 6 2 1 1 3 3 3 3 3 3它的输出工作时序仿真图如图2.2所示,程序见附录.图2.2 NoteTabs模块的工作原理仿真图2.1.3 ToneTaba模

12、块的原理 ToneTaba模块是一个音阶发生器,实质是译码电路,控制音调的预置数.乐谱信号是该模块的敏感信号, 输入的每一个乐谱都将被译成与之相对映的频率从Tone端口输出去控制Speakera模块,让Speakera模块发出不同的音调.ToneTaba模块在将乐谱译成相映的频率的同时会将乐谱的符号从codel输出到显示部分,并判断乐谱是高音还是低音,再从highl端口输出去显示.在ToneTaba模块的设计中tone的计算很重要,tone是根据产生该音阶频率所对应的分频比获得的.此值是通过查表和计算所到,查表我们可以知道每个乐谱符号的频率,然后计算出要得到该乐谱的频率所需的分频系数.例如音符

13、1的发音,通过查表得它的频率是785HZ左右,我们要计算tone的值可由公式:音符频率=振荡频率/(16#7FF#-tone的值)振荡频率是经过分频之后得到的,在此是1MHZ,由公式可计算出tone的值为:773.为了进一步了解ToneTaba模块的工作原理,可假定一些参数进行仿真.设它的输入乐谱为: 3 3 3 3 5 5 5 2 1 1 3 12 15 3 则ToneTaba模块的工作时序仿真图如图2.3所示,程序见附录.图2.3 ToneTaba模块的工作时序仿真图2.1.4 Speakera模块的原理 模块Speakera中的主要电路是一个数控分频器,它由一个初值可预置的加法计数器和两个分频器构成.数控分频器的功能就是当输入端给定不同的输入时,将对输入的时钟信号有不同的分频比, Speakera模块中的数控分频器就是用计数值可并行预置的加法计数器设计完成的,方法是将计数溢出位与预置数加载输入信号相接即可.当模块Speakera由端口tone获得一个十进制数后,将以此值为计数器的预置数,对端口CLK12MHZ输入的频率进行分频,之后将输出再进行2分频,将脉冲展宽,以使扬声器有足够功率发音,最后由SPKOUT向扬声器输出发声. Speakera模块的工作时序仿真图如图2.4所示,程序见附录.注:为了能清晰的看到输入与输出的关系,第一次分频系数设的是2,第二次分频系数设的

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 办公文档 > 工作计划

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号