实验四ALU的设计与实现实验报告

上传人:博****1 文档编号:505379295 上传时间:2023-02-26 格式:DOCX 页数:7 大小:57.24KB
返回 下载 相关 举报
实验四ALU的设计与实现实验报告_第1页
第1页 / 共7页
实验四ALU的设计与实现实验报告_第2页
第2页 / 共7页
实验四ALU的设计与实现实验报告_第3页
第3页 / 共7页
实验四ALU的设计与实现实验报告_第4页
第4页 / 共7页
实验四ALU的设计与实现实验报告_第5页
第5页 / 共7页
点击查看更多>>
资源描述

《实验四ALU的设计与实现实验报告》由会员分享,可在线阅读,更多相关《实验四ALU的设计与实现实验报告(7页珍藏版)》请在金锄头文库上搜索。

1、实验四 ALU的设计与实现实验报告姓名:阿迪兰 13053004余乐 13052044 班级:计算机2班实验四 ALU的设计与实现一、实验目的1、理解ALU的功能和其在处理器中的地位2、掌握ALU的结构化(分模块、分层次)的设计方法3、掌握ALU的Verilog 语言描述方法二、实验任务1、学习ALU的设计方法。2、用Verilog语言采用行为描述的方法完成74181的逻辑设计 。3、用Verilog语言采用结构描述的方法完成74181的逻辑设计。4、学习用宏模块的方法定制并调用 ALU 。74181功能表注意:“+”表示逻辑或,“加”表示数学加;逻辑非(!)与(按位)取反()的区别。modu

2、le ALU_74181(input 3:0 a, input 3:0 b,input 3:0 s, input m,input cn, output 3:0 f,output aeqb, output c4,output p, output g ,);reg 3:0 result; wire 4:0temp; wire p0,p1,p2,p3; wire g0,g1,g2,g3; assign temp=s,m;always(temp or a or b)begincase (temp) 5b00000: result=a;5b00001: result=!a; 5b00010: resul

3、t=a|b; 5b00011: result=(!a|b); 5b00100: result=(a|!b); 5b00101: result=!a&b; 5b00110: result=4b1111;5b00111: result=0; 5b01000: result=a+(a&!b); 5b01001: result=!(a&b); 5b01010: result=!b; 5b01011: result=(a|!b)+(a&!b); 5b01100: result=ab; 5b01101: result=a-b+4b1111; 5b01110: result=a&(!(b+4b1111);

4、5b01111: result=a&!b; 5b10000: result=a+a&b; 5b10001: result=(!a)|b; 5b10010: result=a+b; 5b10011: result=!(ab); 5b10100: result=(a|!b)+a&b; 5b10101: result=b; 5b10110: result=a&b+4b1111;5b10111: result=a&b; 5b11000: result=a+a; 5b11001: result=1;5b11010: result=(a|b)+a; 5b11011: result=(a|!b); 5b11

5、100: result=(a|!b)+a; 5b11101: result=(a|b);5b11110: result=a+4b1111; 5b11111: result=a; endcaseassign f=result;assign g0=a0&b0; assign g1=a1&b1;assign g2=a2&b0;assign g3=a3&b0;assign p0=a0b0; assign p1=a1b1;assign p2=a2b2;assign p3=a3b3;assign c4=g3|(g2&p3)|(g1&p2&p3)|(g0&p0&p1&p2)|(cn&p0&p1&p2&p3)

6、;assign p=p0&p1&p2&p3;assign g=g3+g2&p3+g1&p2&p3+g0&p1&p2&p3;assign aeqb=(a=b)?1b1:1b0;endmodule五、实验思考题1. ALU的功能是什么,它在计算机系统中的地位如何?答:ALU是多功能算数逻辑运算单元,不仅能进行多种算术运算和逻辑运算,如与、或、非、异或循环、移位、求补、清零、加、减、乘、除等,而且具有先行进位逻辑,从而能实现高速运算。ALU是CPU的核心部分,也是CPU的重要组成部分。一台计算机最主要的功能就是指向运算的功能,而ALU恰恰具有这样的功能,可以说多功能运算时据算计的灵魂,没有运算,计算机将不会具有如此强大的功能。2. ALU是典型的组合逻辑,为什么在实现中要加入时钟信号,其目的是什么?答:处于整机同步的需要,这样可以保证ALU产生的结果能够适时的送到总线,以便数据进行正确的读写3. 74181 ALU内部加法运算用的是超前进位算法吗?答:是。74181的设计在内部的实现是通过超前进位,而后又利用了p函数和g函数实现了片与片之间的超前进位功能。

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 建筑/环境 > 建筑资料

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号