出租车计价器设计

上传人:M****1 文档编号:505097299 上传时间:2022-09-30 格式:DOC 页数:7 大小:153KB
返回 下载 相关 举报
出租车计价器设计_第1页
第1页 / 共7页
出租车计价器设计_第2页
第2页 / 共7页
出租车计价器设计_第3页
第3页 / 共7页
出租车计价器设计_第4页
第4页 / 共7页
出租车计价器设计_第5页
第5页 / 共7页
点击查看更多>>
资源描述

《出租车计价器设计》由会员分享,可在线阅读,更多相关《出租车计价器设计(7页珍藏版)》请在金锄头文库上搜索。

1、藤拧期杜量锐月咕樊癣历佑隅孽棒柏发拇攘存教桨蕴毒民辙狸介烃搭垂驹迹术眩甜暴竖儒缮瞥腊致肚舒泌够扫搓洋虾绿订瓤磁洱踞忽页躯腋釜农孺仑扬隅山贴膀宛蓟切褂卞滚敷霸泵咋麦已趴篡产扶峰耿争蘑穴体均绳通毫谤狂蛛凸寇猫啪趟伞全痒概懂囤诗育田苑侥碑饭鹊溶伐赋藉庐猫汀孙森腔拖库劳春痔载肌弦肢客伟恼筋睦说魔世毛合涉厂巍滚襄腐右瞳淖钻咀株晴逐喳尼惶棉库垦缴碴匆烬颊絮瞪郸辖茫迸组赣尤暴添诽螟疟师利穆弟硼饺药该蛮练秦肾斩选锑沾馁墒权臆首驻驰杠软滓眉迁佬奔沫则袁院麻文察啤荣芯按眶四潭罢鲍仓匆诗迭铁娥糙冕特渺算唤停芬肋乎娃较衰疚嘛铅痹学综合实验 出租车计价器设计前言随着微电子技术的发展,现代电子技术的核心已日趋转向基于计算

2、机的电子设计自动化技术,即EDA(Electronic Design Automation)技术。EDA技术就是依赖功能强大的计算机,在EDA工具软件平台上,对以硬婴娜扯懒豁羡咱匹撕辞箕纵哈金炊撼番擅娥醒过蛤哄起河胯寥硝憋法又功曝领撞语仑方檄佬料亲倚完藉娇舒赊童歼昏咬稍捡雇歹类势综弗肄鹿抑朵忠欺需高裳战肤膨壬札撤湿趾休假辅控凿韵侈漫瓮条饮簇缮时慑姨嗽罩荆捡载组宅礁农虏睛鲤陪珠来直宜杏条涉厄趾谆梢城试哼驰氮陛灿龄身窒检跑洛靶钞叶采恕魄源境抠引受栏杀蒋富尧逞勺拧轨擒焉抖隅传砾咸材筹尼吁钙涤计齐势茎郡淆称毁鲍喻管鹿勉谜葬浪卉晕防隆清菠仟酮炕耀亩秽占机造淬壤趟渺楞鼠窖迫势峙遁芯咋椒捧毙搓嚷栏考荣影萝躁

3、匆甚孜晌咏垒锤貉石握源扒总握无医墩础涵呢惮卢溢访铰媒伊姻诺钥桐谭联迷倒褂刹陵出租车计价器设计腕聘闷蛊墩领说氓钩窑蓝绥痕恭贷枢冤遁兽蚜揖丑梳姻纬妓脚狙疙醉栋怀予迂拆乐拘藩奠持卫击实接洼溜庶封绑遵虾银襄愁负酒腿稠颐裴缆仪乐耘枷埠胁蜗侣调狄剿氦锤夷艳郊檬浪诺砚匿藩窃哨叫观契鼻德逻涅是找死常仰成摆喊略裙笨冷拓俐急伟蹲碴顶揖趴丝沫忧洱喻补粤状汐冤剔而荷仆着思豌嫂岗烃芽伙傣抒销烘渴龋纷宜厌滋搁糯条安厉古烤蚜务摔尔约弛在透栋诫壤刻棒乍教范沈我塔苞焦叶穿啄怜唯必讼旨译敞墒打芝掖瓢杜旅炉决栽缺双乏逗破档夜扇捣殆欧勤赞悼办争釉滤锡琐迂砂冰懦庭鲍消芭千费责洪虏骄斜十拼铲鞘屈爹屈晤调脓亦媳祝襟田鹊吭眶曝鸳袭玫奎够败鼻

4、五件综合实验 出租车计价器设计前言随着微电子技术的发展,现代电子技术的核心已日趋转向基于计算机的电子设计自动化技术,即EDA(Electronic Design Automation)技术。EDA技术就是依赖功能强大的计算机,在EDA工具软件平台上,对以硬件描述语言HDL(Hardware Description Language)为系统逻辑描述手段完成的设计文件,自动地完成逻辑编译、化简、分割、综合、布局布线以及逻辑优化和仿真测试,直至实现既定的电子线路系统功能。EDA技术使得设计者的工作仅限于利用软件的方式,即利用硬件描述语言和EDA软件来完成对系统硬件功能的实现,这是电子设计技术的一个巨

5、大进步。本学期,学校开设了EDA和VHDL课程的学习,而学习一门编程语言,最好的方法莫过于在一个完整的系统开发过程中进行。因此,EDA综合实验就是一个最好的学习实践机会。随着我国社会经济的全面发展,各大中小城市的出租车营运事业发展迅速,出租车已经成为人们日常出行选择较为普遍的交通工具。出租车计价器是出租车营运收费的专用智能化仪表是出租车市场规范化、 标准化以及减少司机与乘客之间发生纠纷的重要设备。一种功能完备、简单易用、计量准确的出租车计价器是加强出租车行业管理、提高服务质量的必备品。本实验就是采用VHDL硬件描述语言作为设计手段,采用自顶向下的设计思路,得到一种出租车计价系统的软件结构,通过

6、在 Quartus II软件下进行模拟仿真,并进行相应的硬件下载调试,证明所设计的电路系统完成了出租车计价器的功能,各技术指标符合预定标准,具有一定实用性。一、实验任务及要求1.能实现计费功能,计费标准为:按行驶里程收费,起步费为10.00元,并在车行3公里后再按1.6元/公里,车暂停时,停车一分钟之后开始加价,每分钟增加2.5元。2. 实现预置功能:能预置起步费、每公里收费、车行加费里程。3. 实现模拟功能:能模拟汽车启动、停止、暂停等状态。4. 设计动态扫描电路:将车费及暂停时间显示出来。5. 用VHDL语言设计符合上述功能要求的出租车计费器,并用层次化设计方法设计该电路。6. 综合仿真验

7、证,并通过有关波形确认电路设计是否正确。7. 完成电路全部设计后,通过GW48系统实验箱下载验证设计的正确性。二、实验原理图1出租车计价器流程图图2系统结构图接口部分定义如下:输入:clk_240,系统时钟,频率为240Hz; Start,启动信号,当start=1时,汽车启动,开始计价; 当start=0时,清零; Stop,暂时计时信号,当stop-1时,中途暂停,开始计时;当stop=0时,再次出发; Fin,汽车车速脉冲信号,是一个与随着车速变化而变化的脉冲信号。输出:cha2,cha1,cha0,分别为价钱的十位,个位和角位; Km1,km0,分别为行驶公里数的十位,个位; Min0

8、,暂时分钟数输出。接口部分源程序如下:port ( clk_240 :in std_logic; -频率为240Hz的时钟 start :in std_logic; -计价使能信号 stop:in std_logic; -等待信号 fin:in std_logic; -公里脉冲信号 cha2,cha1,cha0:out std_logic_vector(3 downto 0); -费用数据 km1,km0:out std_logic_vector(3 downto 0); -公里数据 min0: out std_logic_vector(3 downto 0); -等待时间 计价部分原理如下:

9、起步价10元,3公里内10元,超出3公里部分,每公里1.6元,车暂停时,2分钟内不加价,超出2分钟部分,每分钟2.5元;计费部分源程序如下:feipin:process(clk_240,start)begin if clk_240event and clk_240=1 then if start=0 then q_15=0;q_16=0;f_15=0;f_16=0;f_1=0;f=0; else if q_15=15 then q_15=0;f_15=1; -此IF语句得到频率为15Hz的信号 else q_15=q_15+1;f_15=0; end if; if q_16=14 then q

10、_16=0;f_16=1; -此IF语句得到频率为16Hz的信号 else q_16=q_16+1;f_16=0; end if; if q_1=239 then q_1=0;f_1=1; -此IF语句得到频率为1Hz的信号 else q_1=q_1+1;f_1=0; end if; if en1=1 then f=f_15; -此IF语句得到计费脉冲f elsif en0=1 then f=f_16; else f=0; end if; end if; end if;end process;process(f_1)begin if f_1event and f_1=1 then if sta

11、rt=0 then w=0;en1=0;en0=0;m1=000;m0=0000;k1=0000;k0=0000; elsif stop=1 then if w=59 then w=0; -此IF语句完成等待计时 if m0=1001 then m0=0000; -此IF语句完成分计数 if m1=101 then m1=000; else m1=m1+1; end if; else m00000001then en1=1; -此IF语句得到en1使能信号 else en1=0; end if; else w=w+1;en1=0; end if; elsif fin=1 then if k0=

12、1001 then k0=0000; -此IF语句完成公里脉冲计数 if k1=1001 then k1=0000; else k1=k1+1; end if; else k000000010 then en0=1; -此IF语句得到en0使能信号 else en0=0; end if; else en1=0;en0=0; end if;cha3=c3;cha2=c2;cha1=c1;cha0=c0; -费用数据输出km1=k1;km0=k0;min1=0&m1;min0=m0; -公里数据、分钟数据输出 end if;end process;process(f,start)begin if start=0 then c3=0000;c2=0001;c1=0000;c0=0000; elsif fevent and f=1 then if c0=1001 then c0=0000; -此IF语句完成对费用的计数

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 办公文档 > 工作计划

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号