ModelSim中Altera仿真库的添加

上传人:cn****1 文档编号:503448157 上传时间:2023-08-21 格式:DOCX 页数:6 大小:193.79KB
返回 下载 相关 举报
ModelSim中Altera仿真库的添加_第1页
第1页 / 共6页
ModelSim中Altera仿真库的添加_第2页
第2页 / 共6页
ModelSim中Altera仿真库的添加_第3页
第3页 / 共6页
ModelSim中Altera仿真库的添加_第4页
第4页 / 共6页
ModelSim中Altera仿真库的添加_第5页
第5页 / 共6页
点击查看更多>>
资源描述

《ModelSim中Altera仿真库的添加》由会员分享,可在线阅读,更多相关《ModelSim中Altera仿真库的添加(6页珍藏版)》请在金锄头文库上搜索。

1、ModelSim 中 Altera 仿真库的添加两种方法:1、在仿真的文件中加入altrea_mf.v的文件(verilog语言来说),就可以直接仿真在Altera FPGA中 定制IP核的工程。2、下面的方法通常,在ModelSim中进行仿真需要加入Quartus提供的仿真库,原因是下面三个方面:Qua rtus 不支持 Testbench;调用了 megafunction或者lpm库之类的Altera的函数;时序仿真要在 Modelsim 下做仿真。下面以Altera器件为例,介绍如何在ModelSim中加入Altera的仿真库,Quartus II软件中自带有Altera 的仿真库,只要

2、把它拿到ModelSim 中去编译一下就可以了,具体步骤如下:1.设置仿真库路径打开ModelSim安装目录(我用的是ModelSim SE 6.2版本,安装在D:ModelSimInstallfiles目 录下),新建文件夹altera,我们就在该目录下存放预编译的各种Altera库。启动ModelSim SE 6.2,在主窗口执行【File】/【Change Directory】命令将路径转到altera文件 夹。或在命令行中执行 cd D:/ModelSim/Installfiles/altera。220model altera_mF altera_prirriitivesvital20

3、00Ihhh iriodelsinri_litiStd std_develuperskit synopses yerilugPathworkose folderMy5ciftw:fesL. ModelSinE! E tZi eh| D:/MpSoft丄门#1盘SE:PLUS2bF ils Edi t ViCofTipile SiffinLit Add Lihr:yy T&ols LayoiitLayout NoDeiignf吕 S-i2.新建库Quartus II中提供的仿真库文件存放的路径是altera80quartusedasim_lib,每个库文件提 供了两种形式:.v(Verilog)

4、格式和.vhd(VHDL)格式两种,根据你所用的语言选择使用。用于编译资源库的文件有220model.v, 220model.vhd, 220pack.vhd, altera_mf.v, altera_mf.vhd, altera_mf_components.vhd, altera_primitives.v, altera_primitives.vhd, altera_primitives_components.vhd 文件。网上的 很多教程都是把这些文件一起编译,这样适用于Verilog和VHDL混合仿真,但如果只用一种语言,如Verilog则完全没必要全部编译。下面以该目录下的altera

5、_mf.v为例介绍建立预编译库的方法。注:ModelSim中仿真库可以分为两大类:第一类是工作库(working),默认值为“work”目录,work 目录中包含当前工程下所有被编译的设计单元,编译前必须建立一个work库,并且每个编译有且仅有一 个work库;第二类是资源库(resource),存储能被当前编译引用的设计单元,在编译期间允许有多个 resource 库。在主窗口中选择【File】/【New】/【Library】命令,新建一个名为altera_mf的库。220modelaltera mfVital2000leeestd dveloperitverilogaltera_pnmiH

6、vessy说dmodelfinn_libstd3.编译库方便起见在altera文件夹下新建目录src,把用于编译资源库的文件复制到src文件夹中。在主菜单中选【Compile】/ Compile】命令,弹出Compile Source Files对话框,library中选择 你刚才建立的库名altera_mf,查找范围你选择altera_mf.v文件,刚才你已经把它复制到了alterasrc目录下。执行 编译命令。File Idi=七 Vi ew Compile Simate Add LjL.bra-t_y To.ols Lajout Window Help=Layout NoDesjgnMM

7、.Wpkpaue ;L:brary-arkLibrrp$MODEL_TECHA.;altera4rk220iriudelaltera mf* NameTppeh D:丿 My S oftwareVM odelSjm/MiJ w ork/ / t.he top-alter.j_prirnitive5 sv stdCoB-pile Source FilesLibrary; altera_rnfV0| alterajrimitivea. rhd 站alt erajrimi tives_corTiponent e. 3l ccloriH ii_4torriE. val t 紀:i_ri f_87. v

8、h d:=J_ t er :i_ni _c omp on ent e . vhd:=J_ter:ajrimitives. vI11J查找范圉:丈件名憧);LLtara_mf. vCompile文件类型炬;肛il F订亡5(*. v;*. vl:*. vhd;罠 Thdl; *. t * 1fU vnw.vhd_87. vh.l vhd.f. T.rhiispriopss verilogvitalZOOOieeeincdt=lsirn_libstdstd_developers匚onnpil&ifelect&d files togetherDefault Optioni.Edit Solute-Z

9、T继续按照步骤2 和3中介绍的方法添加剩下的几个库。添加完成后如下图所示。閣 ldeLSi-A SEPLUS fcgbFile Edit 71 ewComp lie Sirrnila七e Aid.y T ocleLayout 1J鑿聲fii 壽X ILayout NoDesignv NamePathJ園1十 JlQ workLibrarywork+.JQ gOmodelLibrary$H0DEL_TECH/.7altera+ ; |Q 3ltera_mfLibrary$MODEL_TECHX./3lteia/3lltaltera_primit!YsLibrary$HODEL_TECH/Jalt

10、eia/ali丑J tdLibrary$M0DEL_TECH/.75td戈廈L vhl2000Library$MODE l_.TE 匚 H 薦心 tal2UCl+4 j ieeeLibrary$HODE !_TE 匚 H/.Ji在亡+ j; Q mode l5inn_ libLibrary$MODEL_TECH/JmodefsirYLibrary$MODEL_TECH?Jstd土0 L std_deeiop8rs|uUt MriDesiqriJAIn #220rnodelaHera_mf dltera_prirnr=vita 12 000 ieee nicid&feinri_lib5td_developverilog甌 St art iaulationtDesign VHDL Verilog Libraries; SDFSearch Libraries ( -L OtherS /My Spf 附训諮 M adelS im/l nstallfi h $/a IteraZ altera_mfCancelSearch Libraries: Firstf-Lf 然后再选择work目录中当前工程的顶层实体进行仿真即可。h- D:/MpS oftwares/Mode 13-irri/M若是要进行时序仿真,则还应在SDF 栏进行.sdo延时文件的添加

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 建筑/环境 > 建筑资料

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号