EDA课程设计交通灯设计

上传人:hs****ma 文档编号:501604068 上传时间:2023-03-13 格式:DOC 页数:13 大小:232.50KB
返回 下载 相关 举报
EDA课程设计交通灯设计_第1页
第1页 / 共13页
EDA课程设计交通灯设计_第2页
第2页 / 共13页
EDA课程设计交通灯设计_第3页
第3页 / 共13页
EDA课程设计交通灯设计_第4页
第4页 / 共13页
EDA课程设计交通灯设计_第5页
第5页 / 共13页
点击查看更多>>
资源描述

《EDA课程设计交通灯设计》由会员分享,可在线阅读,更多相关《EDA课程设计交通灯设计(13页珍藏版)》请在金锄头文库上搜索。

1、课程设计 课题:基于EDA基础技术的交通灯设计学院:机电工程学院专业:测控技术与仪器指导老师:学生:学号:0702381058第1章 绪论1.1 电子设计自动化技术及其发展微电子技术的进步主要表现在大规模集成电路加工技术即半导体工艺技术的发展上,使得表征半导体工艺水平的线宽已经达到了60nm,并还在不断地缩小,而在硅片单位面积上,集成了更多的晶体管。集成电路设计正在不断地向超大规模、极低功耗和超高速的方向发展,专用集成电路ASIC(Application Specific Integrated Circuit)的设计成本不断降低,在功能上,现代的集成电路已能够实现单片电子系统SOC(Syste

2、m On a Chip)。现代电子设计技术的核心已日趋转向基于计算机的电子设计自动化技术,即EDA(Electronic Design Automation)技术。EDA技术就是依赖功能强大的计算机,在EDA工具软件平台上,对以硬件描述语言HDL(Hardware Description Language)为系统逻辑描述手段完成的设计文件,自动地完成逻辑编译、化简、分割、综合、布局布线以及逻辑优化和仿真测试,直至实现既定的电子线路系统功能。EDA技术使得设计者的工作仅限于利用软件的方式,即利用硬件描述语言和EDA软件来完成对系统硬件功能的实现,这是电子设计技术的一个巨大进步。EDA技术在硬件实

3、现方面融合了大规模集成电路制造技术、IC版图设计、ASIC测试和封装、FPGA(FieldProgrammableGateArray)/CPLD(ComplexProgrammableLogic Device)编程下载和自动测试等技术;在计算机辅助工程方面融合了计算机辅助设计(CAD)、计算机辅助制造(CAM)、计算机辅助测试(CAT)、计算机辅助工程(CAE)技术以及多种计算机语言的设计概念;而在现代电子学方面则容纳了更多的内容,如电子线路设计理论、数字信号处理技术、数字系统建模和优化技术及其高频的长线技术理论等。因此,EDA技术为现代电子理论和设计的表达与实现提供了可能性。正因为EDA技术

4、丰富的内容以及与电子技术各学科领域的相关性,其发展的历程同大规模集成电路设计技术、计算机辅助工程、可编程逻辑器件,以及电子设计技术和工艺的发展是同步的。就过去近30年的电子技术的发展历程,可大致将EDA技术的发展分为以下3个阶段。20世纪70年代,在集成电路制作方面,MOS工艺得到广泛的应用;可编程逻辑技术及其器件问世,计算机作为一种运算工具在科研领域得到广泛应用。而在后期,CAD的概念已见雏形,这一阶段人们开始利用计算机取代手工劳动,辅助进行集成电路版图编辑、PCB布局布线等工作。20世纪80年代,集成电路设计进入了CMOS(互补场效应管)时代,复杂可编程逻辑器件进入商业应用,相应的辅助设计

5、软件投入使用;在80年代末,出现了FPGA;CAE和CAD技术的应用更为广泛,它们在PCB设计方面的原理图输入、自动布局布线及PCB分析,以及逻辑设计、逻辑仿真、布尔函数综合和化简等方面担任了重要的角色。特别是各种硬件描述语言的出现、应用和标准化方面的重大进步,为电子设计自动化必须解决的电路建模、标准文档及仿真测试奠定了基础。进入20世纪90年代,随着硬件描述语言的标准化进一步确立,计算机辅助工程、辅助分析和辅助设计在电子技术领域获得更加广泛的应用。与此同时,电子技术在通信、计算机及家电产品生产中的市场需求和技术需求,极大地推动了全新的电子设计自动化技术的应用和发展,特别是集成电路设计工艺步入

6、了超深亚微米阶段,百万门以上的大规模可编程逻辑器件的陆续面世,以及基于计算机技术的面向用户的低成本大规模ASIC设计技术的应用,促进了EDA技术的形成。更为重要的是各EDA公司致力于推出兼容各种硬件实现方案和支持标准硬件描述语言的EDA工具软件的研究和应用推广,更有效地将EDA技术推向成熟和实用。1.2 EDA技术的设计方法数字系统的设计可以采用不同的方法,在今天复杂的IC设计环境下,概括起来只有两种设计方法供数字系统设计人员选择:一种为由底向上(Bottom-up)的设计方法,也称为传统的设计方法;另一种为自顶向下(Top-down)的设计方法,也称为现代的设计方法。但是由于所设计的数字系统

7、的规模大小不一,且系统内部逻辑关系复杂,如何划分逻辑功能模块便成为设计数字系统的最重要的任务。采用由底向上的设计方法需要设计者首先定义和设计每个基本模块,然后对这些模块进行连线以完成整体设计。在IC设计复杂程度低于10000门时常采用这种设计方法,但是随着设计复杂程度的增加,该方法会产生产品生产周期长、可靠性低、开发费用高等问题。现代的设计方法综合运用各方面的知识,设计者必须从系统的角度来分析每个设计,同时还要对数字电路结构、EDA工具、微电子等有关知识有比较全面的了解,这样才能发挥自顶向下设计的优势,提高电路设计的质量和效率。采用自顶向下技术进行设计可分为三个主要阶段:系统设计、系统的综合和

8、优化和系统实现,各个阶段之间并没有绝对的界限。系统设计是整个设计流程中最重要的部分。它包括系统功能分析、体系结构设计、系统描述与系统功能仿真4个步骤,这一阶段所做的工作基本上决定了所设计电路的性能,后面所做的工作都是以这一部分为基础的。EDA设计流程为:设计输入、时序与功能仿真、综合、适配与下载。图1-1是运用EDA技术进行数字系统设计的流程图。图1-1 EDA数字系统设计流程第2章 交通灯控制系统介绍随着社会经济的发展,城市交通问题越来越引起人们的关注。人、车、路三者关系的协调,已成为交通管理部门需要解决的重要问题之一。城市交通控制系统是用于城市交通数据监测、交通信号灯控制与交通疏导的计算机

9、综合管理系统,它是现代城市交通监控指挥系统中最重要的组成部分。日常生活在十字路口需要两个方向通车和行人行走,为了行人和车辆的安全和正常的交通次序,每次只能一个方向通车和行人。在每个方向都有相应的指示灯指挥车辆的通行,当红灯亮起的时候表示这个方向禁止通行;绿灯亮的时候起表示这个方向可以通行;黄灯闪烁时表示这个方向将由可以通行状态转变为禁止通行状态。每个方向车流量不同,通车放行时间也不同。当有紧急车辆,如:急救车,消防车,公安出勤车等过来时,每个方向都禁止通车亮红灯,方便紧急车辆通过,等紧急车过去后马上恢复紧急车辆来之前的状态。路口交通灯控制系统与其他控制系统一样,划分为控制器和受控电路两部分。控

10、制器使整个系统按设定的工作方式交替指挥车辆及行人的通行,并接收受控部分的反馈信号,决定其状态转换方向及输出信号,控制整个系统的工作过程。 城市路口交通信号控制系统大体上分为三种类型:定周期的信号机、多时段且具有无电缆协调功能的微电脑型信号机以及联网式自适应多相位智能型信号机。具体采用哪种类型,应根据其应用场合及特点加以确定。其中,第一种类型以其成本低,设计简单,安装及维护方便等特点得到了广泛应用。本文讨论的城乡交通灯控制系统就属于该种类型。该交通灯控制系统主要由时间发生器电路、光电检测电路、控制电路等几个部分组成。 第三章 交通灯控制系统的设计3.1交通灯控制系统的设计要求1.南北向为主干道,

11、每次通行时间为30S,东西向为支干道,每次通行时间为20S;2.能实现正常的倒计时功能,用两组数码管作为东西、南北向的倒计时显示。其中,黄灯:5S。3.能实现特殊状态的功能。按下SP键后,能实现以下特殊功能:4.能显示十字路口东西、南北两个方向的红、黄、绿灯的指示状态,用两组红、黄、绿三色灯作为两个方向的红、黄、绿灯;(1)显示倒计时的两组数码管闪烁;(2)计数器停止计数并保持在原来的状态;(3)东西、南北路口均显示红灯状态;(4)特殊状态解除后能继续计数;5. 能实现全清零功能。按下reset键后,系统实现全清零,计数器由初状态计数,对应状态的指示灯亮;6. 用VHDL语言设计上述功能的交通

12、灯控制器,并用层次化方法设计该电路;7. 仿真、验证设计的正确性。3.2 设计思路1.交通灯控制器的电路控制原理框图如图1所示,主要包括置数器模块、定时计数器模块、主控制器模块和译码器模块。置数器模块将交通灯的点亮时间预置到置数电路中。计数器模块以秒为单位倒计时,当计数值减为零时,主控电路改变输出状态,电路进入下一个状态的倒计时。核心部分是主控制模块。具体控制情况见表1。图3-1电路控制原理框图表1交通灯控制器状态3.3 设计流程图由以上要求可以得到该系统的程序流程图如图3所示。其中,GA、RA、YA表示A支路的绿灯、红灯、黄灯,GB、RB、YB表示B支路的绿灯、红灯、黄灯,S表示特殊功能按键

13、,T表示计时的间。图3-2程序流程图3.4分频1HzLIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY fp1HZ ISPORT(clk:in std_logic; -10M clk1Hz: buffer STD_LOGIC);END fp1hz;ARCHITECTURE one OF fp1hz ISSIGNAL test: integer range 0 to 6000000;beginprocess(clk)beginif clkevent and clk=1 thenif tes

14、t5000000 thentest=test+1;elsetest=0;clk1hz=not clk1hz;end if;end if;end process;end one;3.5、器件下载编程与硬件实现在进行硬件测试时,按键k1对应复位端reset,按键k2对应紧急开关urgent。EDA实验开发系统上的时钟cp2对应计数时钟CLK,数码管M3、M4对应东西走向的时钟显示。LED灯l16、l15、l14对应东西走向的绿灯G1、黄灯Y1、红灯R1。数码管M1、M2对应南北走向的时钟显示。LED灯l1、l2、l3对应南北走向的绿灯G2、黄灯Y2、红灯R2,对应的硬件结构示意图如图4所示。图3-

15、 交通灯控制系统的硬件示意图 第四章 课程设计总结通过这次课程设计使我懂得了理论与实际相结合是很重要的,只有理论知识是远远不够的,只有把所学的理论知识与实践相结合起来,从理论中得出结论,才能真正为社会服务,从而提高自己的实际动手能力和独立思考的能力。在设计的过程中遇到问题,可以说得是困难重重,同时在设计的过程中发现了自己的不足之处,对以前所学过的知识理解得不够深刻,掌握得不够牢固。总的来说,在设计中遇到了很多问题,最后在老师的辛勤的指导下,终于游逆而解,有点小小的成就感,终于觉得平时所学的知识有了实用的价值,达到了理论与实际相结合的目的,不仅学到了不少知识,而且锻炼了自己的能力,使自己对以后的路有了更加清楚的认识,同时,对未来有了更多的信心。参考文献1王振红.VHDL数字电路设计与应用实践教程.北京:机械工业出版社,20032褚振勇。FPGA设计与应用。西安:西安电子

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 办公文档 > 工作计划

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号