毕业设计基于FPGA的曼彻斯特编码器的设计

上传人:ni****g 文档编号:500428351 上传时间:2023-11-27 格式:DOC 页数:49 大小:763KB
返回 下载 相关 举报
毕业设计基于FPGA的曼彻斯特编码器的设计_第1页
第1页 / 共49页
毕业设计基于FPGA的曼彻斯特编码器的设计_第2页
第2页 / 共49页
毕业设计基于FPGA的曼彻斯特编码器的设计_第3页
第3页 / 共49页
毕业设计基于FPGA的曼彻斯特编码器的设计_第4页
第4页 / 共49页
毕业设计基于FPGA的曼彻斯特编码器的设计_第5页
第5页 / 共49页
点击查看更多>>
资源描述

《毕业设计基于FPGA的曼彻斯特编码器的设计》由会员分享,可在线阅读,更多相关《毕业设计基于FPGA的曼彻斯特编码器的设计(49页珍藏版)》请在金锄头文库上搜索。

1、 毕业设计(论文)题目: 基于FPGA的曼彻斯特编码器的设计 系 别 信息工程系专业名称 电子信息工程班级学号 078205224学生姓名 指导教师 二O一一 年 六月 毕业设计(论文)任务书I、毕业设计(论文)题目:基于FPGA的曼彻斯特编码器的设计II、毕 业设计(论文)使用的原始资料(数据)及设计技术要求:MIL-STD-1553B是一种集中控制式、时分指令/响应型多路串行数据总线标准,具有高可靠性和灵活性,已经成为现代航空机载系统设备互联的有效解决方案,广泛地应用于飞机、舰船、坦克等武器平台上,并且越来越多地应用到民用领域。MIL-STD-1553B总线上的数据以双相曼彻斯特编码的方式

2、传输。1553B总线曼彻斯特码编码器的主要功能就是把来自外部的并行二进制数据转化为1553B总线上传输的串行信息,并且对这些串行数据进行曼彻斯特码编码,再加上同步头和奇偶效验位,使之成为能够以1553B总线协议所要求的格式在总线中进行传输。本课题基于FPGA平台实现曼彻斯特编码器的设计。III、毕 业设计(论文)工作内容及完成时间:第 1周-第 3周:资料查找、方案论证、英文资料翻译、开题报告撰写。第 4周-第 7周:学习MIL-STD-1553B总线的相关知识,熟悉曼彻斯特编码器原理。第 8周-第14周: 熟悉FPGA编程,使用VHDL语言完成曼彻斯特编码器的设计。第15周-第17周:归总系

3、统文档,撰写毕业论文。第18周:准备答辩。第 1周-第 3周:资料查找、方案论证、英文资料翻译、开题报告撰写。 、主 要参考资料:1.徐志军.EDA技术与VHDL设计M.北京:电子工业出版社,2009.2.潘松,赵敏.EDA技术及其应用M.北京:科学出版社,2008.3.李洪伟等.基于Quartus II的FPGA/CPLD设计M.北京:电子工业出版社,2006.4.杨凯. MIL-STD-1553B总线曼彻斯特码编码器的设计与实现D.四川大学,2006.5.唐剑,王勇. MIL-STD-1553B总线曼彻斯特码编码器的CPLD实现J.技术与市场,2008,2.6.石红梅,刘泳. 采用FPGA

4、实现1553B总线接口专用芯片设计C. 第十三届全国遥测遥控技术年会论文,2004.7.解传军,王海滨. 基于FPGA的航空总线协议接口设计J. 电子设计工程,2009,5.8.郑友泉.现场可编程门阵列J.世界电子元器件,2005,10. 信息工程 系 电子信息工程 专业类 0782052 班学生(签名): 填写日期: 2010 年 11 月 20 日指导教师(签名): 助理指导教师(并指出所负责的部分): 系主任(签名):附注:任务书应该附在已完成的毕业设计说明书首页。学士学位论文原创性声明本人声明,所呈交的论文是本人在导师的指导下独立完成的研究成果。除了文中特别加以标注引用的内容外,本论文

5、不包含法律意义上已属于他人的任何形式的研究成果,也不包含本人已用于其他学位申请的论文或成果。对本文的研究作出重要贡献的个人和集体,均已在文中以明确方式表明。本人完全意识到本声明的法律后果由本人承担。作者签名: 日期: 年 月 日学位论文版权使用授权书本学位论文作者完全了解学校有关保留、使用学位论文的规定,同意学校保留并向国家有关部门或机构送交论文的复印件和电子版,允许论文被查阅和借阅。本人授权南昌航空大学科技学院可以将本论文的全部或部分内容编入有关数据库进行检索,可以采用影印、缩印或扫描等复制手段保存和汇编本学位论文。 作者签名: 日期:导师签名: 日期:基于FPGA的曼彻斯特编码器的设计学生

6、姓名:林 玉 班级:0782052 指导老师:邹 琼 摘要:电子技术与航空产业飞速发展,已成为我国支柱产业之一。我国政府高度重视航空航天产业发展,“十二五”期间将进一步加大政府支持力度,促进航空航天产业快速发展。在飞机制造中,航空电子系统是其中重要的组成部分,而航空电子系统中数据总线是关键技术之一。11553B作为一种通用的军事标准协议,它对数据总线的电气和协议特性进行严格的规范和约束,现已广泛运用于航空电子综合系统。该标准对航空飞机所需的数字命令响应,分时复用等数字总线技术提出了一系列的要求,其中包括数据总线的传输和协议格式。本文首先对FPGA和曼彻斯特编码相关原理进行概述,尤其是航空数据总

7、线MIL-STD-1553B。其次是对其系统组成的介绍,本次设计主要包括两大部分,并串转换器、曼彻斯特编码器。最后着重讲述该系统在quartus 软件下的运行和仿真。1553B总线协议中对传输的字类型进行了规范和分类:分别是命令字、状态字和数据字。本次论文的设计主要着重于该协议总线接口内部的曼彻斯特码编码器,作为总线接口的重要组成部分,曼彻斯特编码器担负着码型编写、奇偶校验等重要任务,使数据能够以1553B所定义的标准形式在数据总线中进行传输。 关键词:FPGA,曼彻斯特编码,1553B总线,串并转换 指导老师签字: Design of Manchester Encoder Based on

8、FPGAStudent Name: Lin Yu Class: 0782052Supervisor: Zou QiongAbstract: Electronics and Aviation technology industry developed rapidly. Our country attaches great importance to the development of aerospace industry.In the period of Twelfth of Five-Year government will support further increase Aviation

9、, it will Promote the rapid development of the aerospace industry.In aircraft manufacturing,Avionics System is an important part of the Aviation.The avionics system is the key technology of data bus. 1553B takes one kind of military standard,it has carried on the strict standard and the restraint to

10、 the electricity and the protocol characteristic of data bus,and it has already widely used in the aviation electron systemThis standard propose a series of requests to the digital bus technology which are obligatory to the aviation airplane numeraI/Order/response,time sharing multiple use,including

11、 data bus information flow and function formatThis article first introduces about the FPGA and Manchester Encoder,especially MIL-STD-1553B.Next is the introduction of its two composition unit,It including String and convert and Manchester Encoder.Fhen the one that told emphatically is quartusof Oper

12、ation and Simulation,in the1553Bs protocol,the word types which transmitted in the 1553B bus has carried on the standard classification,they are the order word、the status word and the data wordthe design for this paper mainly emphatically in the protocol bus interface interior Manchester code encode

13、g as the bus interface important constituent,the encoder is shouldering the parallelserial Ixansformation,the code compilation,the parity check and so on the important task,enables the data according to the standard form which defines by 1553B to carry on the transmission in the data bus.Keywords: FPGA, Manchester Encoder, 1553B, String and convert Signature of Supervisor: 目 录1 绪论1.1选题的依据和意义11.2项目研究内容和任务11.3论文内容及结构22 FPGA原理及开发工具2.1 FPGA原理32.2 Quartus及开发语言43 曼彻斯特编码原理3.1 1553B数据总线83.2 曼彻斯特编码原理104 系统总体设计4.1 系统设计要求114.2 系统设计思路114.3 系统设计框图125

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 资格认证/考试 > 自考

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号