项目名称半实物仿真平台

上传人:cn****1 文档编号:499699991 上传时间:2022-11-03 格式:DOC 页数:3 大小:66.50KB
返回 下载 相关 举报
项目名称半实物仿真平台_第1页
第1页 / 共3页
项目名称半实物仿真平台_第2页
第2页 / 共3页
项目名称半实物仿真平台_第3页
第3页 / 共3页
亲,该文档总共3页,全部预览完了,如果喜欢就下载吧!
资源描述

《项目名称半实物仿真平台》由会员分享,可在线阅读,更多相关《项目名称半实物仿真平台(3页珍藏版)》请在金锄头文库上搜索。

1、一、 项目名称:半实物仿真平台二、 招标数量:1套序号分项名称分项细目数量1半实物仿真平台整体系统平台1套三、 技术参数1. 8槽机箱,PXIe总线形式;2. *CPU:Intel i7四核CPU 2.6GHz每核, 实时操作系统,4GB内存,8GB系统带宽;3. 电力电子HIL 应用功能;3.1.*实时仿真FPGA芯片:Xilinx K7-160T;3.2.*IO:同步模拟输出16通道 1MS/s,16bits,+-10V;同步模拟输入16通道500KS/s,16bits,+-10V;数字输入 72通道,10MHz,3.3V TTL;数字输出16通道,10MHz,3.3V TTL;3.3.*

2、支持任意电力电子拓扑搭建;3.4.*不需要手动分割模型;4. 电机实时仿真功能;4.1.*通用电机系统的实时仿真:支持Simulink通用电机;4.2.*自定义电机实时仿真:支持基于Simulink/LabVIEW自定义的电机仿真可实现在CPU或者FPGA上;4.3.*非线性电机实时仿真:支持JMAG 搭建的三相永磁同步电机实现在FPGA上;4.4.*非线性电机仿真FPGA芯片:Xilinx K7-325T;4.5.非线性电机实时仿真IO:同步模拟输出18通道 1MS/s,16bits,+-10V;同步模拟输入6通道1MS/s,16bits,+-10V;数字输入32通道,10MHz,3.3V

3、TTL;数字输出8通道,10MHz,3.3V TTL;4.6.能做自闭环;4.7.*FPGA仿真不需要编译过程;4.8.*FPGA上的实时仿真拓扑大小:40个关键元件(L,C,开关,电源);4.9.*FPGA实时仿真步长:250ns-1.25us;4.10可扩展,扩展性好,可升级solver,也可升级硬件板卡扩展;5. RCP应用功能5.1. *FPGA芯片:Xilinx K7-160T;5.2. IO:同步模拟输出16通道,1MS/s,16bits,+-10V;同步模拟输入16通道,500KS/s,16bits,+-10V;数字输入20通道,10MHz,3.3V TTL;数字输出20通道,1

4、0MHz,3.3V TTL;PWM输出 40路PWM 输出;5.3. 软件功能描述:支持Simulink或LabVIEW电力电子控制算法在实时控制器上的快速下载和实时运行,支持电力电子控制的PWM脉冲发生,编码器信号处理;提供示范例程。无需开发,基于配置使用;5.4. FPGA开放程度:支持利用LabVIEW FPGA来开发FPGA的控制代码,可自定义发生更高速的PWM波速率;5.5. CPU实时控制速率:最快可达25kHZ,具体根据控制速率的复杂情况定;5.6. *FPGA 发PWM速率:可利用LabVIEW编程实现100KHZ 以上PWM.;6. 厂家提供系统软件安装U盘;7. 厂家提供系

5、统使用说明书等文件; 备注:技术指标中标注“*”项的参数视为重要技术指标,不允许出现偏离。若标注“*”参数出现偏离,其投标将被拒绝3、到货期限:设备交货期在成交后3个月之内。4、送货安装地点:哈尔滨市一匡街2号哈尔滨工业大学科学园5、质保与售后服务(1) 在设备到货后,供应商将派专人进行上门进行安装与调试,确保系统可以正常使用,达到承诺的技术指标;(2) 供应商须对用户进行软硬件系统使用培训等,需拟定具体培训计划方案,培训时间不少于3个工作日。培训人员为专业人员与资深专家;(3) 卖方提供全套的与项目相符的文档资料。提供的文档包括:软硬件的技术手册及相关资料、系统技术资料、操作手册、安装手册、功能技术说明手册、培训资料等;(4) 设备质保期为1年。质保期内,当设备出现问题时,自接到通知后,供应商应在24小时内及时响应,如果用户有需要,保证到现场后72小时内解决问题;(5) 质保期外,卖方将向用户提供所购买产品的长期技术服务,包括现场、电话、传真、E-mail等方式;6、不允许分包、联合体投标;投标报价应为到用户现场的含税报价,投标货币应为人民币。

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 医学/心理学 > 基础医学

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号