电子技术基础三08

上传人:ni****g 文档编号:499046923 上传时间:2023-01-23 格式:DOC 页数:7 大小:468.50KB
返回 下载 相关 举报
电子技术基础三08_第1页
第1页 / 共7页
电子技术基础三08_第2页
第2页 / 共7页
电子技术基础三08_第3页
第3页 / 共7页
电子技术基础三08_第4页
第4页 / 共7页
电子技术基础三08_第5页
第5页 / 共7页
点击查看更多>>
资源描述

《电子技术基础三08》由会员分享,可在线阅读,更多相关《电子技术基础三08(7页珍藏版)》请在金锄头文库上搜索。

1、 电子技术基础(三) 08试题课程代码:04730一、单项选择题(本大题共15小题,每小题1分,共15分)在每小题列出的四个备选项中只有一个是符合题目要求的,请将其代码填写在题后的括号内。错选、多选或未选均无分。1电路如图所示,能正确反映电压和U之间关系的表达式是()AB CD2正弦电压u(t)=(V),则正弦电压的有效值等于()ABCD3电路如图所示,已知。则电流I等于()A0.5mAB1mAC2mAD3mA 4图示电路中二极管的导通电压均为0.7V,当时,可以判断出()ABCD5为使放大电路的输出电压稳定、输入电阻增大,需引入的交流负反馈是()A电压串联B电压并联C电流串联D电流并联6()

2、ABCD7集成运放作为线性应用时,电路形式一般是()A开环B正反馈C开环或正反馈D深度负反馈8单相桥式整流电路,为变压器副边电压有效值,则二极管承受的最高反压为()ABCD9开关型集成稳压器与普通线性集成稳压器相比较,前者的突出特点是()A稳压效果好B效率高C滤波电容大D输出纹波小10某逻辑电路真值表如下表所示,其函数F的表达式是()ABCD11卡诺图中,把8个相邻项合并,能够消除的变量数为()A1个B2个C3个D4个12在下列各图中,使输出F=1的电路是()13两个一位二进制数A和B的数据比较器,表示AB的输出G表达式为()ABCD14在下列各图中,能实行的电路是()15图示可编程PROM器

3、件中,A和B为输入变量,输出F为()A+BCD+AB二、填空题(本大题共15小题,每小题1分,共15分)请在每小题的空格中填上正确答案。错填、不填均无分。1610V的直流电压加在一个10的电容两端,则流过电容的电流等于_。17某电阻两端的电压是10V,流过的电流是2A,则该电阻阻值等于_。18电路如图所示,则流过33电阻上的电流等于_A。(保留2位小数)19差分放大器对差模信号有较强的放大能力,对共模信号有较强的_能力。20放大器的输入电阻越大,表明放大器获取输入电压的能力越_。21已经测得某晶体管各极对地的电压如图所示,则表明该晶体管工作在_状态。22反相比例运算电路从反馈的角度去看,它属于

4、_负反馈电路。23电压比较器在反相端输入大于同相端输入时,其输出极性为_。24单相半波整流电路的输出电压平均值是副边电源电压有效值的_倍。25三端集成稳压器7905的输出电压为_V。26逻辑函数的表示形式有四种:逻辑函数式、_、卡诺图和逻辑图。27图示电路的输出F为_。28将一组输入代码翻译成需要的特定输出信号的电路称作_。29由与非门组成的基本R-S触发器输入端。该触发器Q为_。30简单可编程逻辑器件中,“与阵列”和“或阵列”都可编程的是_。三、分析题(本大题共8小题,每小题5分,共40分)31电路如图所示,求元件1、元件2、元件3及两个电源分别吸收或提供的功率。32放大电路如图所示,假设晶

5、体管的非常大,导通电压为0.7V,。33单相半波整流电路如下,若u的幅值为6V。(1)画出u与u的波形;(2)当二极管D接反时,有何结果?34将十进制数25转换成二进制、十六进制和8421BCD码。35用卡诺图化简下列函数,并写出最简与或表达式。+BD+BCD+AC+A36写出下列逻辑电路的表达式。37已知某逻辑电路的表达式如下,请列出真值表并判断其功能(分别写出、)。=38指出下列电路是几进制计数器;画出Q0、Q1、Q2、Q3的波形。四、设计与计算题(本大题共4小题,第39、40小题每小题各8分,第41、42小题每小题各7 分,共30分)39电路如图所示,求电流以及2电阻消耗的功率。(保留2位小数) 题39图40运放电路如图所示,已知,,(1)求u、u的值;(2)若的阻值增大,则对输出结果u有何影响?41试用门电路设计一个数字比较器,要求对两个一位二进制数A、B进行比较。令分别表示大于,小于和等于的比较结果。要求: (1)列出真值表;(2)写出逻辑表达式;(3)画出逻辑电路图(使用与门,异或门,非门)。42试用D触发器设计一个符合下列状态图所示的同步三进制计数器。要求:(1)填写电路状态卡诺图;(2)写出逻辑表达式;(3)画出逻辑图。

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 医学/心理学 > 基础医学

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号