电梯控制说明书

上传人:夏** 文档编号:498567305 上传时间:2024-02-15 格式:DOCX 页数:16 大小:216.71KB
返回 下载 相关 举报
电梯控制说明书_第1页
第1页 / 共16页
电梯控制说明书_第2页
第2页 / 共16页
电梯控制说明书_第3页
第3页 / 共16页
电梯控制说明书_第4页
第4页 / 共16页
电梯控制说明书_第5页
第5页 / 共16页
点击查看更多>>
资源描述

《电梯控制说明书》由会员分享,可在线阅读,更多相关《电梯控制说明书(16页珍藏版)》请在金锄头文库上搜索。

1、前言11电梯控制器的设计方案21.1电梯控制器的设计原理21.2三层电梯控制器的设计思路22三层电梯控制器的综合设计32.1三层电梯控制器的实体设计32.2三层电梯控制器的结构体设计33三层电梯控制器VHDL设计44三层电梯控制的仿真54.1Quartus II 软件的介绍54.2电梯控制器的仿真64.3硬件测试75设计的扩展性86总结9参考文献10附录11冃U言电梯控制器是控制电梯按顾客要求自动上下的装置。本文采用VHDL语言来 设计实用三层电梯控制器,其代码具有良好的可读性和易理解性,源程序经 Altera公司的Quartus II软件仿真。通过对三层电梯控制器的设计,可以发现本 设计有一

2、定的扩展性,而且可以作为更多层电梯控制器实现的基础。此次课设的 内容与要求如下:(1)设计完成多楼层多用户的电梯载客服务控制系统。电梯运行时,能够 显示电梯所在位置和电梯运行状态;(2)电梯每秒升(降)一层楼,当电梯到达选择的楼层时,经过1s电梯门 打开,开门指示灯亮,开门4s后,电梯关闭,指示灯灭,电梯继续运行,直至 执行完最后一个请求信号停在当前层;(3)能记忆电梯外的所有请求信号,按照电梯运行规则次序响应,每个请 求信号保留至执行后消除;(4)电梯处于上升状态时,响应上升请求的楼层大于电梯的所在层;电梯 处于下降状态时,响应有下降请求的楼层小于电梯的所在层;执行完上升状态时, 若更高层有

3、下楼请求,则直接开到下楼请求的最高层接客,然后进入下降模式。(5)先执行完所有的上楼请求再执行下楼请求,抑或先执行完所有的下楼 请求再执行上楼请求。1电梯控制器的设计方案1.1电梯控制器的设计原理电梯控制器的功能模块如下图所示,包括主控制器、分控制器、楼层选择器、 状态显示器、译码器和楼层显示器。乘客在电梯中选择所要到达的楼层,通过主 控制器的处理,电梯开始运行,状态显示器显示电梯的运行状态,电梯所在楼层 数通过译码器译码从而在楼层显示器中显示。分控制器把有效的请求传给主控制 器进行处理,同时显示电梯的运行状态和电梯所在楼层数。由于分控制器相对简 单很多,所以主控制器是核心部分。图1-1电梯控

4、制器原理图1.2三层电梯控制器的设计思路电梯控制器设计两个进程相互配合,状态机进程作为主要进程,信号灯控制 进程作为辅助进程。根据电梯的实际工作情况,可以为状态机设置十个状态,它 们分别是“电梯停在一层”“开门”“关门” “开门等待第一秒” “开门等待第二秒” “开门等待第三秒”“开门等待第四秒”“上升” “下降”和“停止”由于电梯每 秒上升或下降一层,则可以用周期为Is的信号来作为电梯状态转换的触发时钟。 状态机进程中的很多判断条件是以信号灯控制进程产生的信号灯信号为依据,而 信号灯控制进程中信号灯的熄灭又是由状态机进程中传出的信号来控制。2三层电梯控制器的综合设计2.1三层电梯控制器的实体

5、设计首先考虑输入端口,一个异步复位端口 reset,用于在系统不正常时回到初 始状态;在电梯外部,必须有升降请求端口,一层是最低层,不需要有下降请求, 三层是最高层,不需要有上升请求,二层则上升、下降请求端口都有;在电梯的 内部,应该设有各层停留的请求端口:一个电梯时钟输入端口,该输入时钟以1 秒为周期,用于驱动电梯的升降及开门关门等动作;另有一个按键时钟输入端 口,时钟频率比电梯时钟高。其次是输出端口,有升降请求信号以后,就得有一个输出端口来指示请求是 否被响应,有请求信号以后,该输出端口输出逻辑T。被响应以后则恢复逻辑 0;同样,在电梯内部也应该有这样的输出端口来显示各层停留是否被响应;

6、在电梯外部,需要一个端口来指示电梯现在所处的位置;电梯开门关门的状态也 能用一个输出端口来指示;为了观察电梯的运行是否正确,可以设置一个输出 端口来指示电梯的升降状态。2.2三层电梯控制器的结构体设计首先说明一下状态。状态机设置10 了个状态,分别是电梯停留在1层 (stoponl)、开门(dooropen)、关门(doorclose)、开门等待 第 1 秒(doorwaitl)、开门 等待第2秒(doorwait2)、开门等待第3秒(doorwait3)、开门等待第4秒(doorwait4)、 上升(up)、下降(down)和停止(stop)。在实体说明定义完端口之后,在结构体 archit

7、ecture和begin之间需要有如下的定义语 句,来定义状态机。在结构体中,设计了俩个进程互相配合,一个是状态机进程作为主要进程, 另外一个是信号灯控制进程作为辅助进程。状态机进程中的很多判断条件是以信 号灯进程产生的信号灯信号为依据的,而信号灯进程中信号灯的熄灭又是由状态 机进程中传出的clearup和cleardn信号来控制。在状态机进程中,在电梯的上升状态中,通过对信号灯的判断,决定下一个状 态是继续上升还是停止;在电梯下降状态中,也是通过对信号灯的判断,决定下 一个状态是继续下降还是停止;在电梯停止状态中,判断是最复杂的,通过对信 号的判断,决定电梯是上升、下降还是停止。在信号灯控制

8、进程中,由于使用了专门的频率较高的按键时钟,所以使得按键 的灵敏度增大,但是时钟频率不能过高,否则容易使按键过于灵敏。按键后产生 的点亮的信号灯(逻辑值为 1)用于作为状态机进程中的判断条件,而clearup 和cleardn信号为逻辑l使得相应的信号灯熄灭。3三层电梯控制器VHDL设计三层电梯控制器的设计主要是对实体和结构体的设计,三层电梯控制器的源 代码(见附录),它的VHDL描述模块流程如图所示:图3-1三层电梯控制器的VHDL描述模块流程本程序设计调用了 ieee库,ieee库是VHDL设计中最为常用的库,它包含 有ieee标准的程序包和其他一些支持工业标准的程序包。本设计采用了 st

9、d_logic_1164、std_logic_arith、std_logic_unsigned 程序包。以关键词entity引导,end entity lift结尾的语句部分,称为实体。VHDL的 实体描述了电路器件的外部情况及各信号端口的基本性质。本设计定义了关于三 层电梯控制器用到的各类时钟、异步复位按键、信号灯指示、电梯的请求。端 口模式主要就是in、buffer、out端口。及定义了各端口信号的数据类型,主要是 std_logic(标准逻辑位数据类型)、integer(整数类型)、std_logic_vector(标准逻辑矢 量数据类型)。这些都满足上面调用的ieee库中的程序包。以关

10、键词architecture引导,end architecture lift结尾的语句部分,称为结构 体。结构体负责描述电路器件的内部逻辑功能或电路结构。本设计定义了 10个 状态。描述了在三层电梯中出现的各种可能的情况作为控制电梯的主要进程。 信号灯控制作为辅助进程。4三层电梯控制的仿真4.1Quartus II软件的介绍Quartus II是Altera公司的综合性PLD/FPGA开发软件,支持原理图、VHDL、 VerilogHDL 以及 AHDL(Altera Hardware Description Language)等多种设计输入 形式,内嵌自有的综合器以及仿真器,可以完成从设计输

11、入到硬件配置的完整 PLD设计流程。Quartus II可以在XP、Linux以及Unix上使用,除了可以使用Tel脚本完成 设计流程外,提供了完善的用户图形界面设计方式。具有运行速度快,界面统一, 功能集中,易学易用等特点。Quartus II支持Altera的IP核,包含了 LPM/MegaFunction宏功能模块库, 使用户可以充分利用成熟的模块,简化了设计的复杂性、加快了设计速度。对第 三方EDA工具的良好支持也使用户可以在设计流程的各个阶段使用熟悉的第三 方EDA工具。此外,Quartus II通过和DSP Builder工具与Matlab/Simulink相结合,可以 方便地实现

12、各种DSP应用系统;支持Altera的片上可编程系统(SOPC)开发, 集系统级设计、嵌入式软件开发、可编程逻辑设计于一体,是一种综合性的开发 平台。Quartus II的主要功能有:Quartus II提供了完全集成且与电路结构无关的开发包环境,具有数字逻辑 设计的全部特性,包括:可利用原理图、结构框图、VerilogHDL、AHDL和VHDL 完成电路描述,并将其保存为设计实体文件;芯片(电路)平面布局连线编辑。LogicLock增量设计方法,用户可建立并优化系统,然后添加对原始系统的 性能影响较小或无影响的后续模块;可读入标准的EDIF网表文件、VHDL网表文件和Verilog网表文件;

13、能生成 第三方EDA软件使用的VHDL网表文件和Ver ilog网表文件;功能强大的逻辑综合工具;完备的电路功能仿真与时序逻辑仿真工具;定时/时序分析与关键路径延时分析;可使用SignalTap II逻辑分析工具进行嵌入式的逻辑分析; 支持软件源文件的添加和创建,并将它们链接起来生成编程文件; 使用组合编译方式可一次完成整体设计流程; 自动定位编译错误;高效的期间编程与验证工具。4.2电梯控制器的仿真仿真(一)下图所示仿真的是在第一层电梯外部有上升请求,也就是flupbuttton信号的一个脉冲,可以看到电梯从一层上升到二层再到三层,position信号由1变到2再到3, doorlight信

14、号T表示开门,0示关门。当乘客进 入电梯以后,在电梯内部要求上升到第三层,也就是stop3button产生一个 脉冲,电梯上升到第3层,开门4秒以后关门,停留在第三层,position最后的值为3。26 |022+1 fuplight 田 flnlight 田 stoplightdoorli ght尹 0 ns 100.0 US180.0 ns曲 0.卩 340.0420-. ns 500. 115530ns 660-. 115ns 820-. nsli ft elk buttonclk1 upbut t on 2dnbutt on f2upbutton 3 dnbut t on stoplb

15、utton stop2buttori stop3buttori图4-2-1从一楼上升到三楼的仿真图仿真(二):下图是有下降请求的情况,当电梯停留在第三层的时候,在电梯 外第三层有下降请求,这时候fdnlight信号灯由0变为1,当乘客进入电梯以后, 在电梯内部要求下降到第一层,也就是stoplbutton产生一个。电梯下降到第一 层,响应了下降请求,所以fdnlight信号灯清0。,所以电梯就停留在第一层, position信号的值保持在1。0 14 8 2 6 01234-56 T8911 1122图4-2-2从三楼下降到一楼的仿真图仿真(三):同时有上升和下降请求信号时,电梯的运行情况如下图所示。图 中仿真的情况是,原先电梯停留在第一层,这时候电梯外第二层有上升请求,电 梯上升到第三层,乘客进入电梯以后要求下降到一层,电梯首先要响应上升请求, 然后再响应这个下降请求。从仿真的波形看,电梯的位置变化和想象是一致的。 电梯的运行情况完全正确。最后乘客在电梯内部要求上升到三层,所以电梯最后的停留位置为三层。图4-2-3从二楼上升到三楼再从三楼下降到一楼的仿真图4.3硬件测试将编译好的程序下载到芯片上,进行硬件测试以验证实验结果是否正确。图4-3-1管脚锁定图当模拟从三楼下降到一楼时,从控制楼的灯可以

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 学术论文 > 其它学术论文

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号