瞬开延断开关

上传人:cl****1 文档编号:498302355 上传时间:2022-09-10 格式:DOC 页数:11 大小:119.01KB
返回 下载 相关 举报
瞬开延断开关_第1页
第1页 / 共11页
瞬开延断开关_第2页
第2页 / 共11页
瞬开延断开关_第3页
第3页 / 共11页
瞬开延断开关_第4页
第4页 / 共11页
瞬开延断开关_第5页
第5页 / 共11页
点击查看更多>>
资源描述

《瞬开延断开关》由会员分享,可在线阅读,更多相关《瞬开延断开关(11页珍藏版)》请在金锄头文库上搜索。

1、电子技术课程设计瞬开延断开关学院:华科学院专业、班级:电气工程及其自动化082201h姓名:常晓超学号:200822050101指导教师:柴婷婷2010年12月目录-瞬开延断开关一、设计任务与要求-(2)二、总体框图-(2)三、选择器件-(3)四、功能模块-(4)五、总体设计电路图-(8)六、心得体会-(10)一、设计任务与要求设计题目:瞬开延断开关设计一个按钮开关:该开关在按钮第一次按下时,输出信号X和Y瞬时变为高电平;在第二次按钮按下时,输出信号x瞬时变为低电平,但是输出信号y在延时90s后,才变为低电平。若x控制投影仪的灯泡,y控制投影仪的风扇,则该开关就是投影仪的开关。该控制器如图所示

2、:二、总体框图设计完成的总体方案是控制器和一个90进制计数器。1、控制器的具体功能为:当a第一次按下时,x、y和t都瞬时变为高电平,此时无论td是高还是低电平都没有任何影响。当a第二次按下时,x和t瞬时变为低电平,y不变依然是高电平,此时若td输入低电平则y变为低电平。下表为控制器的特性表:2、90进制计数器的具体功能为:当rst=0且en=1的时候,器件随clk的1Hz脉冲频率在第一个90s后,ct输出从低电平变为高电平,在第二个90s后,ct输出从高电平变为低电平,循环往复,依次类推。当rst=1时则计数清零。当en=0时则计数暂停。下表为90进制计数器的特性表:三、选择器件使用Alter

3、a公司出品的EPF10KLC84-4。其芯片的内部原理图为:其芯片的引脚图如下:四、功能模块1、控制器模块(1)、控制器的逻辑功能:一是要进行二分频,使x,y和t在a按第一次的时候变成高电平,在a按第二次的时候变成低电平。二是要使y受td和a的共同影响,当a按第一次的时候,且无论td是什么电平,y均变成高电平;当a按第二次的以后,y随(not td)变化。(2)、控制器的VHDL语言程序为:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;use ieee.std_logic_arith.all;e

4、ntity sheji is port(a,td:in std_logic; x,y,t:out std_logic);end sheji;architecture one of sheji is begin process(a,td) variable s:std_logic_vector(1 downto 0); variable temp:std_logic; variable c:std_logic; begin if aevent and a=1 then temp:=not temp; end if; x=temp; t=temp; if a=1 then c:=1; end if

5、; if td=1 and a=0 then c:=0; end if; y35 、td=36 、x=51 、y=52 、t=53。(6)、在实验箱上得到了满意的结果。2、90进制计数器模块(1)、90进制计数器的逻辑功能:当rst为高电平的时候,计数器清零当en为低电平的时候,计数器暂停。当rst为低电平,且en为高电平的时候,ct端在90s后变为高电平,再90s后变为低电平,循环往复。(2)、90进制计数器的VHDL语言程序为:library ieee;use ieee.std_logic_1164.all;library ieee;use ieee.std_logic_1164.all;

6、use ieee.std_logic_unsigned.all;entity fenpin is port(en,rst,clk:in std_logic; ct:out std_logic);end fenpin;architecture one of fenpin is begin process(clk,rst,en) variable s:std_logic_vector(9 downto 0); begin if rst=1 then s:=(others=0); elsif clkevent and clk=1 then if en=1 then if s0); end if; e

7、nd if; end if; if s=89 then ct=1; else ct35 、en=36 、ct=53。(6)、在实验箱上得到了满意的结果。五、总体设计电路图1、总体电路的原理图为:图中,SHEJI是控制器,FENPIN是90进制计数器。A控制x、y、t,cp输入时钟脉冲信号用来计数;y和t连接到en和rst上是使计数器在允许之间内计数;ct信号输出到td上以控制y的电平。2、总体电路的仿真图为:五-2-1五-2-2五-2-3从仿真图中可以看出:符合设计要求。图五-2-1中,在a按第一次时候,x、y均为高电平;在a按第二次的时候,x瞬时变为低电平,y在90s后变为低电平。图五-2-

8、2中,当x为高电平的时候,计数器会被清零以准备计数。图五-2-3中,当y为低电平的时候,计数器会停止计数,以保证ct无变化使y始终在低电平。3、管脚分配图为:管脚定义说:cp=35 、a=36 、x=52 、y=53。4、设计符合题目要求。当a按第一次时候,x、y均为高电平;当a按第二次的时候,x瞬时变为低电平,y在90s后变为低电平。但限于实验室的设备,结果不够理想。六、心得体会 通过本次实验我明白了实践出真知的道理,动手能力有了进一步的提高。获得了独立思考、解决问题的能力。在设计过程当中做到了不依靠他人,积极主动地查找资料、询问老师和与同学们进行积极的讨论,使我受益非浅。 在今后的学习生活中,我想我能以一个大学生应该具备的学术素养来要求自己,争取精益求精,更上一层楼。

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 建筑/环境 > 施工组织

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号