基于FPGA的等精度频率计的设计

上传人:pu****.1 文档编号:497987048 上传时间:2024-02-20 格式:DOC 页数:45 大小:1.09MB
返回 下载 相关 举报
基于FPGA的等精度频率计的设计_第1页
第1页 / 共45页
基于FPGA的等精度频率计的设计_第2页
第2页 / 共45页
基于FPGA的等精度频率计的设计_第3页
第3页 / 共45页
基于FPGA的等精度频率计的设计_第4页
第4页 / 共45页
基于FPGA的等精度频率计的设计_第5页
第5页 / 共45页
点击查看更多>>
资源描述

《基于FPGA的等精度频率计的设计》由会员分享,可在线阅读,更多相关《基于FPGA的等精度频率计的设计(45页珍藏版)》请在金锄头文库上搜索。

1、基于FPGA的等精度频率计设计摘 要频率计是实验室和科研、生产中最常用的测量仪器之一。本文介绍了一种基于FPGA芯片设计的等精度频率计。对传统的等精度测量方法进行了改进,采用SOPC设计技术和基于NIOS II嵌入式软核处理器的系统设计方案,通过在FPGA芯片上配置NIOS II软核处理器进行数据运算处理,利用液晶显示器对测量的频率进行实时显示,可读性好。整个系统在一片FPGA芯片上实现,系统测量精度高,实时性好,具有灵活的现场可更改性。本频率测量仪是以Altera公司生产的CycloneII系列EP2C35F672C6器件为核心实现高精度计数功能。整个电路采用模块化设计,调试制作方便。经过仿

2、真并下载验证,能够实现等精度测频功能,频率测量围为1HZ-200MHZ。关键词:等精度,频率计,FPGA, SOPC, NiosIIDesign of Equal Precision Frequency MeterBased on FPGAABSTRACTFrequency meter is one of the most commonly used measuring instruments which can be used in laboratory, scientific research and production . An equal precision frequency me

3、ter designed with FPGA is introduced in this article. It adopts Very-High-Speed Integrated Circuit HardwareDescription Language to implement function module in frequency meter base on traditional frequency measurement. SOPC designing technique and system designing plan based on Nios II soft core CPU

4、 are used in the design. It also adopts Niosll soft core CPU as data processing unit, uses LCD 1602 equipment to display frequency in real- time. The whole system is in the realization of a FPGA chip. So it has a high- precision measurement, real- time and flexible change of scene. The frequency met

5、er is based on CycloneII EP2C35F672C6 Altera device as the core to achieve high-precision counting. Because of the modular design of this circuit, it is facilitate to debug. After the simulation, and downloads the confirmation, can realize equal-precision frequency measurement function. Frequency me

6、asurement scope is 1-200MHZ.KEY WORDS:equal precision measurement, frequency meter, FPGA, SOPC, NiosII目 录摘要IABSTRACTII1 绪论11.1 课题提出的背景11.2 课题选择意义12 EDA及其开发平台简介32.1 EDA的具体开发流程32.1.1设计输入32.1.2综合32.1.3 适配42.1.4 仿真42.1.5 编程下载和硬件测试42.2简介NIOS II IDE42.2.1工程管理器52.2.2 编辑器和编译器62.2.3调试器62.2.4闪存编程器73 课题方案选择83.

7、1 频率测量方案选择83.1.1 直接测频法83.1.2 间接测频法83.1.3 等精度测频93.2 基于单片机的测频方案103.3 基于FPGA的测频方案114 基于FPGA等精度频率计的单元模块设计134.1 放大整形模块设计134.2 标准信号产生模块设计144.3 FPGA芯片模块设计144.3.1 D型触发器的设计154.3.2 计数器的设计164.3.3 锁存器的设计194.3.4 NIOS II 软核CPU的设计204.4 LCD液晶显示模块235 系统综合及其测试245.1 放大整形模块245.2等精度计数模块245.3 整体系统255.4 软件编写与测试275.5 系统的扩展

8、335.5.1 分频器设计335.5.2 选择器设计356 总结38致39参考文献40 / 1 绪论1.1 课题提出的背景现代数字系统的设计离不开各种先进的仪器,比如数字示波器、逻辑分析仪、频谱分析仪、信号发生器、数字频率计等。数字频率计是一种及其常用的工具,用于检测输入周期信号的频率。随着大规模集成电路的发展,很多芯片部也集成了数字测频单元,大大增强了芯片处理数字信号的能力。数字频率计广泛应用于航天、电子、测控等领域。例如,在传统的生产制造企业中,频率计被广泛的应用在产线的生产测试中。频率计能够快速的捕捉到晶体振荡器输出频率的变化,用户通过使用频率计能够迅速的发现有故障的晶振产品,确保产品质

9、量;在计量实验室中,频率计被用来对各种电子测量设备的本地振荡器进行校准;在无线通讯测试中,频率计既可以被用来对无线通讯基站的主时钟进行校准,还可以被用来对无线电台的跳频信号和频率调制信号进行分析。采用等精度频率测量方法具有测量精度保持恒定的特点。数字化是电子设计的必由之路。EDA技术即以计算机为工具,EDA是Electronic Design Automation电子设计自动化的简称。它是随着集成电路和计算机技术的飞速发展应运而生的一种高级、快速、有效的电子设计自动化工具。在 Quartus II软件平台上,根据硬件描述语言VHDL描述的设计文件,自动地完成逻辑编译、化简、分割、综合及优化、布

10、局布线、仿真、直至对于特定目标芯片的适配编译、逻辑映射和编程下载等工作。设计者只要利用软件完成对硬件功能的描述,在EDA工具的帮助下和应用相应的PLD器件,就可以得到最后的设计结果。尽管,目标器件是硬件,但整个设计是软件控制流程。由于FPGA是纯硬件结构,具有较强的抗干扰能力。NIOS II是Altera针对其FPGA设计的嵌入式软核处理器,它只占芯片部很少的一部分逻辑单元和存储资源,成本很低,具有上百兆的性能,灵活的自定义指令集和自定义硬件加速单元,以及友好的图形化开发环境NIOS II IDE。随着微电子技术和计算机技术的发展,可编程逻辑器件,EDA技术,SOPC等新概念和新技术层出不穷,

11、新技术的应用迅速渗透到电子、通信、信息、汽车制造等领域,有力的推动了社会生产力的发展和社会信息化程度的提高。1.2 课题选择意义基于FPGA的等精度频率计有运算速度快、系统较稳定、测量围广等特点。其中主要应用到EDA技术。伴随着集成电路技术的发展,EDA逐渐成为重要的设计手段。已经广泛应用于模拟与数字电路系统等许多领域。EDA是一种实现电系统或电子产品自动化设计的技术,它与电子技术、微电子技术的发展密切相关,它吸收了计算机科学领域的大多数最新研究成果,以高性能的计算机为工作平台,促进了工程发展。本设计利用FPGA进行测频计数,单片机实施控制实现频率计的设计过程。该频率计利用等精度的设计方法,克

12、服了基于传统测频原理的频率计的测量精度随被测信号频率的下降而降低的缺点。等精度的测量方法不但具有较高的测量精度,而且在整个测频区域保持恒定的测试精度。并采用NIOS II控制液晶显示器显示测量频率。 2 EDA及其开发平台简介2.1 EDA的具体开发流程基于FPGA器件实现数字系统的流程如图2-1所示。图2-1 基于FPGA/CPLD的EDA设计流程图基于FPGA器件的开发流程主要包含设计输入、综合、FPGA适配、仿真和编程下载。2.1.1设计输入设计输入是设计者将所要设计的电路以开发软件要求的某种形式表达出来,并输入到相应软件中的过程。设计输入有多种方式,最常用的是图形输入和HDL文本输入两

13、种方法。 图形输入主要包括状态图输入,波形图输入和原理图输入等。在HDL文本输入中,最常用的硬件描述语言有Verilog HDL和VHDL等,Verilog HDL和VHDL的功能比较强大,属于行为描述语言,能描述和仿真复杂的逻辑设计。2.1.2综合综合是将设计者在EDA平台上编辑输入的HDL文本、图形描述,依据给定的硬件结构和约束可知条件进行编译、优化、转换和综合,最终获得门级电路甚至更底层的电路描述网表文件的过程。综合器就是能够自动实现上述转换的软件工具。 综合的方式有三种分别是行为综合,逻辑综合,版图综合结构综合。2.1.3 适配 适配是将由综合器产生的网表文件配置于指定的目标器件中,并

14、产生最终的可下载文件的过程。 利用适配器将综合后的网表文件针对某一具体的目标器件进行逻辑映射操作,其中包括底层器件配置、逻辑分割、逻辑优化、布局布线等。2.1.4 仿真 仿真,也称为模拟,是对所设计的电路的功能验证。VHDL的仿真流程如图2-2所示,用户可以在设计的过程中对整个系统和各个模块进行仿真,即在计算机上用软件验证功能是否正确,各部分的时序配合是否准确。若出现问题,则可以随时修改,从而避免了逻辑错误。高级的仿真软件还可以对整个系统设计的性能进行估计。规模越大的设计,越需要进行仿真。仿真不消耗硬件资源,不浪费时间,这样就可避免不必要的损失。仿真包括功能仿真和时序仿真。图2-2VHDL仿真

15、流程图2.1.5 编程下载和硬件测试把适配后生成的编程文件装入到PLD器件中的过程称为下载。通常将对基于EEPROM工艺的非易失结构PLD器件的下载称为编程Program,而将基于SRAM工艺结构的PLD器件的下载称为配置Configure。最常用的编程方式有两种分别是在系统编程ISP,In System Programmable和用专用的编程器编程。2.2简介NIOS II IDENIOS II集成开发环境是NIOS II系列嵌入式处理器的基本软件开发工具。所有软件开发任务都可以NIOS II IDE下完成,包括编辑、编译和调试程序。NIOS II IDE提供了一个统一的开发平台,用于所有NIOS II处理器系统。仅仅通过一台PC机、一片Altera的FPGA以及一根JTAG下载电缆,软件开发人员就能够往NIOS II 处理器系统写入程序以及和NIOS II处理器系统进行通讯。NIOS II IDE基于开放式的、可扩展Eclipse IDE project工程以及Eclipse

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 建筑/环境 > 施工组织

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号