三人表决器、五人表决器的实验报告

上传人:hs****ma 文档编号:497799967 上传时间:2022-12-12 格式:DOC 页数:2 大小:35KB
返回 下载 相关 举报
三人表决器、五人表决器的实验报告_第1页
第1页 / 共2页
三人表决器、五人表决器的实验报告_第2页
第2页 / 共2页
亲,该文档总共2页,全部预览完了,如果喜欢就下载吧!
资源描述

《三人表决器、五人表决器的实验报告》由会员分享,可在线阅读,更多相关《三人表决器、五人表决器的实验报告(2页珍藏版)》请在金锄头文库上搜索。

三人表决器、五人表决器的实验报告一实验目的熟悉atusI软件的基本操作 2.学习使用Vilog HDL进行设计输入3.逐步掌握软件输入、编译、仿真的过程 二 实验说明本次实验是要设计一个三人表决器。该电路应有两个数据输入端口b1,b2,b3,电路的输出端口为voter(u输出信号)。b1b2 voter u b3 三人表决器真值表:输入信号输出信号B1BB3u0000001001111000111111111逻辑表达式:=三实验要求1、完成三人表决器的VerlgHD程序代码输入并进行仿真、采用结构描述方式和数据流描述方式3、完成对设计电路的仿真验证四、实验过程(1)三人表决器:程序代码 仿真结果五人表决器:程序代码 仿真结果五、实验体会通过三人表决器和五人表决器的设计,使我们更加熟悉Quas软件进行数字系统设计的步骤,以及运用Verilog HDL进行设计输入,并掌握三人表决器和五人表决器的逻辑功能和设计原理,逐步理解功能仿真和时序仿真波形。三人表决器和五人表决器大体相似,并没有太大的区别。

展开阅读全文
相关资源
正为您匹配相似的精品文档
相关搜索

最新文档


当前位置:首页 > 行业资料 > 国内外标准规范

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号