课程设计16选1选择器数字逻辑课程设计

上传人:cn****1 文档编号:497560650 上传时间:2024-02-14 格式:DOC 页数:29 大小:1.61MB
返回 下载 相关 举报
课程设计16选1选择器数字逻辑课程设计_第1页
第1页 / 共29页
课程设计16选1选择器数字逻辑课程设计_第2页
第2页 / 共29页
课程设计16选1选择器数字逻辑课程设计_第3页
第3页 / 共29页
课程设计16选1选择器数字逻辑课程设计_第4页
第4页 / 共29页
课程设计16选1选择器数字逻辑课程设计_第5页
第5页 / 共29页
点击查看更多>>
资源描述

《课程设计16选1选择器数字逻辑课程设计》由会员分享,可在线阅读,更多相关《课程设计16选1选择器数字逻辑课程设计(29页珍藏版)》请在金锄头文库上搜索。

1、课程设计报告课程名称 数字逻辑课程设计 课题 任务一 16选1选择器设计 课题 任务二 JK触发器的设计 专 业 网络工程 班 级 1102 学 号 21 姓 名 张宏磊 指导教师 刘洞波 陈华光 陈多2012年 12月 27日课程设计任务书课程名称 数字逻辑课程设计 课题 任务一 16选1选择器设计 课题 任务二 JK触发器的设计 专业班级 网络工程 学生姓名 1102 学 号 21 指导老师 刘洞波 邓作杰 陈多 审 批 任务书下达日期: 2012年 12月 27日任务完成日期: 2013年 01月 11日目 录 一、16选1选择器的功能.1函数真值表.2函数电路图.3函数表达式.二、详细

2、设计.1创建项目.2VHDL文本设计语言输入.3编译功能界面.4编译成功.5. 打开波形编辑器窗口.6.对应结点查找.7.综合编译形成网表.三、程序功能调试.1进入波形仿真功能.2给定输入信号.3进行时序仿真.4生成波形图.四、心得体会.-一、JK触发器的主要功能.1特性方程.2真植表.3函数逻辑电路图.二、详细设计.1创建项目.2输入文本语言程序进行编译.3编译成功,选择波形编辑器功能.4进行仿真设置.5查找对应结点.6形成综合后网表.三、程序功能调试.1给定输入.2进入波形仿真.3形成仿真波形.四、心得体会.五、附录.116选1选择器设计源代码.2 JK触发器设计源代码.3 参考书目.4课

3、程设计评分表.一、16选1选择器的主要功能数据选择器是常用的组合逻辑部件之一。它由组合逻辑电路对数字信号进行控制来完成比较复杂的逻辑功能。它有若干个数据输入端D0、D1、.,若干个控制输入端A0、A1,.和一个输出端Y0。数据选择是指经过选择,把多个通道的数据传送到唯一的公共数据通道上去。它的功能相当于一个多个输入的单刀多掷开关.因此数据选择器又称多路转换器或多路开关。数据选择器(MUX)的逻辑功能是在控制输入端加上适当的信号,既可从多个输入数据源中讲所需的数据信号选择出来,送到输出端。1. 函数真值表 输入输出ABCDE000000010010001101000101011001111000

4、1001101011001011110111101111说明:A,B,C,D为输入地址;E为输出端2. 函数电路图3.函数表达式E=+二、详细设计1.工程管理,进入quartus|界面,创建项目2.VHDL文本语言设计输入3.编译功能界面4.编译成功5.打开波形编辑器窗口6.对应结点查找7.综合编译,形成综合编译后网表三、程序功能调试1.进入波形仿真功能2.给定输入信号3. 进行时序仿真4.形成波形图四、心得体会总结 短短一周的EDA课程设计已经接近尾声了,从得知课设题目,查阅资料,到研究出总体设计,详细设计,然后编写程序,再到最后的上机调试,修改程序,完善程序,收获颇多。16选1选择器的设计

5、已全部完成,能够完成预期的功能,在本课题的设计中体现了VHDL覆盖面广,描述能力强,是一个多层次的硬件描述语言及PLD器件速度快,使用方便,便于修改等特点。由于时间有限和经验是平的欠缺,不足之处还望老师予以指正。在这一周里我们再次熟悉和增强了对VHDL语言的基本知识,熟悉利用VHDL语言对常用的的组合逻辑电路和时序逻辑电路编程,把编程和实际结合起来。VHDL硬件描述语言打破了硬件和软件设计人员之间互不干涉的界限,可以使用语言的形式来进行数字系统的硬件结构、行为的描述,直接设计数字电路硬件系统。通过编程、下载后,该芯片已经具备了原来需要使用复杂的数字电路实现的功能;更加了解和加深了对编制和调试程

6、序的技巧,进一步提高了上机动手能力,培养了使用设计综合电路的能力,养成了提供文档资料的习惯和规范编程的思想。本次的课程设计将各个单一的模块实现其功能后,学会通过原理图或顶层文件把各模块连接。课设注重的不仅是把理论知识巩固,而且应把理论和实际相结合,把知识应用到生活中。课设时间不长,要在短时间内完成绝非个人力量能达到的,要学会集众人之精华,还要善于利用已有的工具为己服务,开拓思维。课设让我们认识到所学本科知识的真正实用性,只是这门课开始研究的第一步。一、JK触发器的主要功能JK触发器是数字电路触发器中的一种电路单元。JK触发器具有置0、置1、保持和翻转功能,在各类集成触发器中,JK触发器的功能最

7、为齐全。在实际应用中,它不仅有很强的通用性,而且能灵活地转换其他类型的触发器。由JK触发器可以构成D触发器和T触发器。1. 特性方程2.真值表CPJK0xx000xx1110000100111010010110110011待添加的隐藏文字内容3101111101111103.逻辑电路图二、详细设计1.新建工程管理2. 输入文本语言程序进行编译3.编译成功,选择波形编辑器功能4.对应结点5.形成综合编译后网表三、进行程序仿真调试1.给定输入信号2.波形仿真测试成功3. 生成波形图四、心得体会总结 成了16选1选择器的电路设计之后,便开始了JK触发器的课题设计,因为前一个课设的完成,使我对于QUARTUS软件的使用有了一定的了解,所以在这个实验设计的时候也轻松了很多。在完成JK触发器的课设时,我只要参看书本上的JK触发器的设计思路完成源代码的编

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 资格认证/考试 > 自考

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号