键盘与接口显示电路EDA

上传人:s9****2 文档编号:497540115 上传时间:2024-01-06 格式:DOCX 页数:19 大小:135.77KB
返回 下载 相关 举报
键盘与接口显示电路EDA_第1页
第1页 / 共19页
键盘与接口显示电路EDA_第2页
第2页 / 共19页
键盘与接口显示电路EDA_第3页
第3页 / 共19页
键盘与接口显示电路EDA_第4页
第4页 / 共19页
键盘与接口显示电路EDA_第5页
第5页 / 共19页
点击查看更多>>
资源描述

《键盘与接口显示电路EDA》由会员分享,可在线阅读,更多相关《键盘与接口显示电路EDA(19页珍藏版)》请在金锄头文库上搜索。

1、河南科技大学课程设计说明书课程名称题目学院班级学生姓名EDA 技术键盘及接口显示电路车辆及动力工程学院农业电气化及自动化 091卢浩冉罗四倍日期2012 年 7 月 12 号键盘及接口显示电路摘要本文通过对4x4矩形键盘的信息采集,并能够在数码显示管上显示所按下的信 息.通过循环输出行信号,检测列信号输入,将行列信号相并,来达到采集信号的目地, 并通过译码在 7 段数码管上显示出来。此次设计,为了防止抖动引起的混乱,加入 了一个防抖动环节。在译完一个键值后,加了一个计算环节,一旦检测到列信号后,译码,紧跟着进入 计数环节,此时键抖动不会进入其他环节,这样可以防止抖动。给精度较高的场合应 用提供

2、了良好的条件,为以后高精度的应用创造良好空间。设计中通过按键控制可 以显示字符串,例如“HELLO,较小的改动可以任意显示5个字符,这在广告中的用 处随处可见,给现代生活带来了方便.关键词:键盘扫描 译码显示 字符串目录第一章 绪论 41.1 设计目的和意义 41.2 设计任务及要求 4第二章 总体设计 52.1工作原理 52。2设计思路 52。3数码管显示译码模块设计 62。4字符串“HELLO”的模块实现 7 2.4。 1七段数码管译码扫描显示 7 2.4。 2原理图 8第三章 仿真 9 3.1键盘扫描功能的仿真 9 3.2字符串的仿真 10第四章 程序 11 4.1键盘扫描程序 11 4

3、.2字符串显示程序 16第五章 结论 18参考文献 19第一章 绪论1.1 设计目的和意义本次设计的目的就是通过实践深入理解计算机组成原理,了解 EDA 技术并掌握 VHDL 硬件描述语言的设计方法和思想。以计算机组成原理为指导,通过学习的 VHDL 语言结合电子电路的设计知识理论联系实际,掌握所学的课程知识和基本单 元电路的综合设计应用。通过对掌握4x4键盘的扫描的巧妙实现和对字符串的显示 过程。巩固和综合运用所学知识,提高IC设计能力,提高分析、解决计算机技术实 际问题的独立工作能力.1.2 设计任务及要求1. 键盘扫描功能:能够对矩形键盘信息采集;2. 显示功能:能够显示相应的按键信息,

4、并能够在按键控制下切换为字符串显 示 “HELLO” !3. 掌握数码管工作的原理,并能够对设计的程序进行仿真和测试,以实现相应 的功能。第二章 总体设计2.1工作原理可编程 器件 的 KEY_HANG 3 。.0行 信 号输 出端 不停 循 环输出 “1110”“1101”“1011”“0111”.当没有键按下时可编程器件的 KEY_LIE3.0列信号 输入端检测到的是“1111”。当有按键按下时,如按下 1,此时 KEY_HANG3.0行 信号输出为“0111”,即 KEY_HANG3.0的3管脚为“0,可由电路看出,此时输入 端KEY_LIE 3。.0检测到的将是“0111”.KEY丄I

5、E 3。0的3管脚为0,可以 在编写程序时,将输出信号 KEY_HANG3。 0及输入信号 KEY_LIE3.。 0同 时判断,比如可以认为当数据“KEY_HANG & KEY_LIE为“01110111,时,可译码成 数据 1,.同理可得其他按键的编码。根据不同数据的编码译成不同的数据。2.2设计思路1。循环输出行信号,检测列信号输入,将行列信号相并。2。译键值。3。去抖动。在译没一个键值后,为了防止抖动,加了一个计算环节,一旦检 测到列信号后,译码,紧跟着进入计数环节,此时键抖动不会进入其他环节,这样可 以防止抖动。4。数码管译码、循环显示。电路的具体功能罗列如下:1) 采用4x4矩阵键盘

6、作为操作数和操作符的输入设备。2) 采用2位8段数码管作为输出显示设备,显示按下的数字及简单的功能。3) 由于所有键盘在按下或者弹起的时候均有按键抖动,所以应该采用去抖 电路,当检测到有按键按下去的时候,应该延时20ms后,再进行检测,如果仍有键盘 按键被按下去的话,则进行键盘读值。当CLK_1K上升沿到来时状态转为stateO,然后判断列及非后的值,看是否有按键 按下,如果有输入数据,则自动启动20ms的计数器,当计满数后,产生一个指示信 号,此信号为1 bit,高电平有效。当读到此指示信号后,便再次将row信号锁存至寄 存器,便得到键盘的一个返回值。如果row没有变化,则state转换为s

7、tate2,对第二 行进行按键扫描。依此类推,扫描第三行及第四行。因为普通的按键都是接触式的,当按键闭合或释放时,上下接触面都会产生一个很 短暂的抖动,如图2。2所示,这个抖动时间一般都会持续5-10ms,虽然这个抖动时间很 短,但对于FPGA工作在50M的高频率上的器件来说,还是可以捕捉的到的。为了使 CPU对于一次按键操作只处理一次,在软件中必须加入去除抖动处理。如图2。1所 示由图中可以看出,最简单的去抖方法就是每隔一段时间读一次键盘,时间间隔大于10ms 即可.如果连续两次检测都有按键被按下,则可以肯定有按键被按下,而且也进入闭合稳定期。2。3数码管显示译码模块设计 数码管显示译码电路

8、主要用来对实际的二进制数据装换为 8段数码管的实际显示控制码,采用两个2位的8段共阴极数码管,数码管的显示方式有两种:静态显示和 动态显示。具体如下:静态显示方式:所谓静态显示就是指无论是多少位数码管,同时处于显示状态需要的硬件电路较多(每一个数码管都需要一个锁存器),将造成很大的不便,同时由于所有数码管都处于被点亮状态,所以需要的电流很大,当数码管的数量增多时, 对电源的要求也就随之增高。所以,在大部分的硬件电路设计中,很少采用静态显示 方式.动态显示方式:所谓动态显示,是指无论在任何时刻只有一个数码管处于显示状 态,每个数码管轮流显示.动态显示的优点是:硬件电路简单(数码管越多,这个优势越

9、明显),由于每个 时刻只有一个数码管被点亮,所以所有数码管消耗的电流较小;缺点是:数码管亮 度不如静态显示时的亮度高,例如有8个数码管,以1秒为单位,每个数码管点亮的 时间只有1/8秒,所以亮度较低;如果刷新率较低,会出现闪烁现象;如果数码管直 接及单片机连接,软件控制上会比较麻烦等。显示译码方式如下:1)时钟上升沿到来时分别对位选和段选进行译码。2)将输入的2bite位选数据译码成4比特数据控制数码管的2位,由于是共阴 极数码管要选定相应的数码管则使该位位低电平,其它位为高电平即可,如 :0000 译码为0111_1111,对应于实验板上的左边第一位数码管。3)将输入的4bite段选数据译码

10、为8比特数据控制8个LED的亮灭,最高位接 A,最低位接小数点位DP。若要显示0则对应的译码为8bllll100。2。4字符串“HELLO的模块实现2.4.1 七段数码管译码扫描显示elk:时钟输入端,此信号是串行扫描的同步信号。data_control7。 0:8 个分别控制数码管显示的输入信号;led_addr7。 .0:对 8 个数码管进行串行扫描的输出控制信号;seg7_data6.。 0:驱动 7段数码管各显示段的输出信号;2.4。2 原理图Yll_addn- led_akiitable( count)Yseg7_iate* seg7_da.te_tble(ccnjnt)seg7_d

11、ata-*sdg7_data_table(8图 2.2 字符串显示原理图第三章 仿真3.1 键盘扫描功能的仿真5.D5 ni5.D5 ni2flD D 耐:9. LB mx没有键按下时行循环输出“ 1110 “ 110 1 ”“ 10 11 ”“ 0 111”3iuM砂谆2TLn_incUS DKTA_rr C1r 11S dtzfB1II1MOId肛5F(I K t1:L:fi X 1M jK lK 21:1X 2Zi 】#X L2E田m_T ILIILDMT13 KEY_MEB ILW1L X61000101STARTIT I图 3.2 随机按键时波形3。2 字符串的仿真LJ陰&4円 fc

12、MBifiAi. Pfi! JrD tf d * 1.无巧 ifi _kwj73 口#常* 匚毗吨匕爭 ft-. 月爭 : n- 9lh-hh I laMid EiUKaMr 誓且LfMmEdn|3s:vflacJcd3A. HwMi fraHa1 ? SY! bj |Fotbm551 E ukwnd341 He-SonI uEncaft.:-s ui A X吏虚虑虑利邀尷吏eJABl *u-h_-:-an rl RihA 忙YULrJoX -ivhUAU. j wl r*iA u-mtr&.%=:w3 Lnd.LJ_4泊Li Mt “I LiU*Mrl4l2亠冋 g*faT| 3 pa|fl

13、_ i| I tmJ* U a磧:济怒粹粹郴:阿郴强郴椰絆苗緒綢町济辟郴磁郴它捧的社:豁和粹郴昭期梆郴牡济冷杯55I沁总磁矗氓細弗:沁核涨磁滋的3徐春檢;磁:氓;磁欝彬郴和:檢:革济衣氓能咨緒薛締齡磁姦僭蹄:W工口口 口月切曲1颐 ul_-hj.iu Bfl_-iU4J tifmyi wmu 口 fl-*ii-UH uiKl.fcl+ft慣:锻或磁廉憨蹲删或迫畑:矽畑:磁eOKKW蹄洌或:服瞬磁矽竟嫌滋磁孑卿孑谊畑敢溉檢谑tsass&sras:矽矽帚沁竇辻趣ya革滾淞妙序療孑5K&*ii5SSSSS糾Q:姣惑询取疥如妙妙級磁翅沁克呎汎敗如3砂;畑沁如敘妙:效咖如祸划核枠酬些图 3 。3 字符串显示第四章 程序4。1 键盘扫描程序library ieee;use ieee.std_logic_1164。all;use

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 学术论文 > 其它学术论文

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号