简易顺序控制器最终版汇总

上传人:hs****ma 文档编号:497379569 上传时间:2022-11-17 格式:DOCX 页数:29 大小:299.28KB
返回 下载 相关 举报
简易顺序控制器最终版汇总_第1页
第1页 / 共29页
简易顺序控制器最终版汇总_第2页
第2页 / 共29页
简易顺序控制器最终版汇总_第3页
第3页 / 共29页
简易顺序控制器最终版汇总_第4页
第4页 / 共29页
简易顺序控制器最终版汇总_第5页
第5页 / 共29页
点击查看更多>>
资源描述

《简易顺序控制器最终版汇总》由会员分享,可在线阅读,更多相关《简易顺序控制器最终版汇总(29页珍藏版)》请在金锄头文库上搜索。

1、湖南工程学院课程设计课程名称数字电子技术课题名称简易顺序控制器专业电子科学与技术班级1202学号201201180215姓名黄垚锟指导教师周京广2014年6月25日湖南工程学院课程设计任务书课程名称:数字电子技术题目:简易顺序控制器(自选参数)专业班级:电科1202班学生姓名:黄垚锟学号::201201180215指导老师:周京广审批:任务书下达日期2014 年6月16日设计完成日期2014 年6月25日设计内容与设计要求、任务与要求:设计并制作一个简易顺序控制器,使执行机构按一定的程序工作,要求如下:1、执行机构的加工程序步骤为八步,第步_5秒,第二步_4_秒,第三步为_6_秒,第四步为_1

2、1_秒,第五 步为12秒,按顺序依次动作;2、用显示器显示顺序控制器的各步加工时间(用倒计时方式);3、用发光二极管显示控制器的各道工序;4、用显示器显示加工步数;5、功能扩展(自选)二、设计要求:1、设计思路清晰,给出整体设计框图;2、设计各单元电路,给出具体设计思路、电路器件;3、总电路设计;4、安装调试电路;5、写出设计报告;主要设计条件1. 提供直流稳压电源、示波器;2. 提供TTL集成电路芯片、电阻、电容及插接用面包板、导线等说明书格式1、课程设计封面;2、课程设计任务书;3、说明书目录;4、设计总体思路,基本原理和框图;5、单元电路设计(各单元电路图);6、总电路设计(总电路图);

3、7、安装、调试步骤;&故障分析与电路改进;9、总结与设计调试体会;10、附录(元器件清单);11、参考文献;12、课程设计成绩评分表。进度安排第一周星期一上午安排任务、讲课星期一下午-星期二下午查资料、设计 星期三开始1、计算机仿真2、测试元器件3、调试单元电路4、调试总电路第二周星期三一一星期四1、调试验收2、写课程设计报告书星期五答辩地点:实验楼四楼电子综合实验室参考文献电子技术课程设计电子技术课程设计指导历雅萍、易映萍编彭介华、主编高等教育出版社电子线路设计、实验、测试谢自美主编华中理工出版社122713141415第一章设计总体思路 第二章单元电路设计与分析 2.1 电路所用元件简介

4、2.2各功能模块介绍?第三章总电路设计 第四章电路安装与调试 ?4.1 电路安装 4.2 电路调试 错误!未定义书签5.1 常见的故障现象 16第五章故障分析与电路改进5.2 产生故障的原因 16第六章总结与设计调试体会 错误!未定义书签附录. 195.3 检查故障的一般方法 16参考文献 . 19课程设计评分表20第一章总体设计思路此次设计的是一个简易顺序控制器,我们需要设计一个步骤计数模块、一个倒数计时模块、一个预置数逻辑模块。三个主要单元之间互相控制和制约。CP脉冲接入2片74LS192组成倒计时计数器,开始倒计时,个位减到零时,借位输出给十位一个脉冲,使得十位减 1,用显示器显示倒计时

5、数,当全部减为零时,借位 使步骤计数器加1,显示步骤。步骤计数器加1的同时,输出通过138译码器和与非门组成的预置 数逻辑给倒计时计数器,实现循环。步骤计数器的输出用一个 138译码器来控制相应的 LED灯亮。当 顺序控制电路置入不同的数时,减计时电路执行不同的任务,所以列出真值表,选用138线译码器实现数据传送,利用卡诺图化简后,利用组合逻辑实现对减计数显示电路的置数。最后是实现功能扩展, 我们选择记录循环的次数,每五步为一个循环,并控制循环几次后整个电 路停止工作。设计总体框图如图一所示:译码/驱动2位倒计时计数器1 4k小译码/驱动i步骤i1数器侦置数逻 辑第二章单元电路设计与分析2.1

6、电路所用元件简介2.1.1 74LS160十进制计数器7 斗 L60(1)74LS160的引脚图CLR :置零端A、B、C、D:输入端ENP、ENT使能端VCC:电源端LOAD置数端VCCRCOQA QFQC QDCLK: ent ccA BCDEGAd*QAGNDRCO:时钟信号端11、QB QC QD输出端:地端进位端(2) 74LS160十进制计数器的功能表CPRDLDEP ET工作状态X0XX X清零J10X XX110 1保持X11X 0保持d.V111 1计数它的主要功能为:异步清除:当CLR=O时,无论有无CLK,计数器立即清零,QD-QA均为0。同步预置:当LOAD=0时,在时

7、钟脉冲上升沿的作用下,QD=D QC=C, QB=B, QA=A D当使能端ENP=ENT=1时,并有脉冲上升沿作用,计数器计数。锁存:当使能端ENP=O或ENT=O时,计数器禁止计数,为锁存状态。2.1.274LS138译码器在中规模集成电路中译码器有几种型号,使用最广的通常是74LS138,从表中可以看出其输出为低电平有效,使能端G为高电平 有效,/G2,/G 3为低电平有效,当其中一个为低电平,输出端全部为1。(1)74LS138 引脚图CBA GPGNG2丁10G174138VCC电源端GND:地端、B、C:输入端Y0 Y7输出端AG1、G2A( S2、G2B (S3 ):控制端(2)

8、 74LS138 功能表输入输出使能端选择端G/g*CBA/Yc1丫11丫21丫31丫41丫51丫61丫71000001 111 111007110 1111 111001011 0111 111001111 1011 111010011 1101 111010111 1110 111011011 1111 011011111 1111 10x111111 1111 11x rc1111 1111 1 1IG2=IG ia+/G b2.1.3 BCD-七段显示译码器 74LS48(1) 74LS48引脚图1312 ITToIsTT(2) 74LS48功能表十进制或功能输出r 1T REJ0CB

9、AabcdefSOhLLLLHHHHHHL1XLLLHHLHHLLL2HXLLHLHHHLHLH3HXLHH1LLH4HXHLLHLHHLHH5HXHLHHHLHLHH6HXHHLHHLHHHH7HXHHHHHHHLLL8HXLLLH|HHHHgHXLLHHHHHLHH皿XLHLHLLLALH11HXLHHHLLHLLH12HXlHLLHLLLLH13HXHLHHLLLHH14HXHnHLHLLLH日H巧HXHHHHHLLLLLLXXXXXXLLLLLLLLRHIHLLLLLLLLLLLLLLTLX ,XXXXHHHHHHH 要求输出0? 15时,灭灯输入(BI )必须开始时保持高电平。如果不

10、灭,则动态灭灯输入(RBI )必须开路或为高电平。 将一低电平直接加于灭灯输入(BI )时,则不管其他输入为任何电平,所有各段输出都关闭。 当动态灭灯输入/动态灭灯输出(BI/RBO )开路或者保持高电平 而试灯输入为高电平 时,所有各段输出都关闭并且动态灭灯输出(RBO处于低电平(响应条件)。 当灭灯输入/动态灭等输出(BI/RBO )开路或者保持高电平而试 灯输入为低电平时,则所有各段都开通。 BI/RBO是线与逻辑,作灭灯输入(BI )或动态灭灯(RBO之用,或两者兼用。2.1.4 74LS192双时钟集成十进制同步可逆计数器(1)、74LS192 引脚图1615V c O 14X L 亠410cAROODCD c LBCA rBAOPCDN BQQDUQQG74192DOWN高电平减法计UP:低电平加法计数QA QB QC QD:输出端、B、C、D:输入端GND地端BO:借位端CO进位端VCC:电源端CLR:置零端LOAD :置数端(2)、74LS192 功能表输入输出CLRLOADUPDOWIJ DCBAQDQCQBQA1XXXXXXX000000XXdcbadcba011XXXX加计数X X X X减计数74192 是同步十进制可逆计数器,具有双时钟和可预置功能。当清除端 CLR=1 时,无论有无计数脉冲, QD-QA 均为 0, 即为异步清 除。当置数端

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 学术论文 > 其它学术论文

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号