二进制振幅键控(ASK)调制器与解调器设计

上传人:cl****1 文档编号:496933443 上传时间:2022-08-25 格式:DOCX 页数:21 大小:321.10KB
返回 下载 相关 举报
二进制振幅键控(ASK)调制器与解调器设计_第1页
第1页 / 共21页
二进制振幅键控(ASK)调制器与解调器设计_第2页
第2页 / 共21页
二进制振幅键控(ASK)调制器与解调器设计_第3页
第3页 / 共21页
二进制振幅键控(ASK)调制器与解调器设计_第4页
第4页 / 共21页
二进制振幅键控(ASK)调制器与解调器设计_第5页
第5页 / 共21页
点击查看更多>>
资源描述

《二进制振幅键控(ASK)调制器与解调器设计》由会员分享,可在线阅读,更多相关《二进制振幅键控(ASK)调制器与解调器设计(21页珍藏版)》请在金锄头文库上搜索。

1、课程设计任务书学生姓名: 专业班级:通信指导教师: 工作单位:信息工程学院题目:二进制振幅键控(ASK )调制器与解调器设计要求完成的主要任务: (包括课程设计工作量及其技术要求,以及说明书撰写 等具体要求)数字信号对载波振幅调制称为振幅键控即 ASK(AmplitudeShift Keying)。 ASK 有两种实现方法:1. 乘法器实现法2. 键控法 为适应自动发送高速数据的要求,键控法中的电键可以利用各种形式的受基 带信号控制的电子开关来实现,代替电键产生 ASK 信号,是用基带信号控制与非 门的开闭,实现 ASK 调制,产生信号。ASK 解调方法有两种1. 同步解调法2. 包络解调法。

2、时间安排:指导教师签名:年 月 日系主任(或责任教师)签名目录摘要IAbstract I.I1. 绪论 11.1 本课题的研究现状 11.2 选题目的意义 122ASK 系统工作原理及数学模型 22.1 2ASK 的调制原理及设计方法 23.2ASK 各个模块的设计 43.1 2ASK 的调制部分 43.2 2ASK 解调部分 44.VHDL 程序设计54.1 2ASK 调制部分程序设计 54.2 2ASK 解调程序设计 65. 2ASK 的仿真结果及分析 75.1Quartus II 的介绍 75.2Quartus II 的优点 75.3 2ASK 调制仿真 85.4 2ASK 解调仿真 9

3、6. 总结 127. 参考文献 13附录14摘要从信号传输质量来看,数字系统优于模拟系统,在数字通信网中,除了考 虑抗干扰能力外,还要考虑容量和频率资源利用率等重要技术指标。在数字传输 系统中,数字信号对高频载波进行调制,变成频带信号,在接收端进行解调,恢 复原数字信号对载波的控制分为振幅调制即振幅键控(ASK)。如今,FPGA在通 信领域得到了广泛的应用,利用FPGA性能优越,使用方便的特点,可以简化振 幅调制解调电路的设计,而且易于反复编写和修改程序。本次实验运用VHDL语言进行基于FPGA的振幅键控调制电路和解调电路设 计的实现方案,给出了程序设计和仿真结果。完成了二进制基带数字信号的调

4、制 的解调,得到相应的调制信号和解调。关键词:FPGA二进制振幅键控ASK调制解调AbstractFrom the quality of signal transmission, the digital system is better than analog system, in the digital communication network, in addition to considering the anti-interference ability, but also consider the important technical indexes of capacity and

5、 frequency resource utilization. In digital transmission system, the digital signal to modulate the high frequency carrier, to the frequency signal, demodulation at the receiving end to restore the original digital signal, control the carrier amplitude modulation is divided into amplitude shift keyi

6、ng (ASK). Now, FPGA has been widely used in the field of communication, the use of FPGA superior performance, convenient use, it can simplify the design of amplitude modulation and demodulation circuit, but also easy to repeatedly write and modify the program.The experiment use the VHDL language to

7、realize the scheme of modulation circuit and demodulation circuit design of amplitude shift based on FPGA, the program design and the simulation results are given. The completion of the modulation and demodulation of binary baseband digital signal, get the modulation signal and the corresponding dem

8、odulation.Keywords: FPGA binary amplitude shift keying ASK modulation demodulation1. 绪论1.1 本课题的研究现状随着时代的发展,用户不再满足于听到声音,而且还要看到图像;通信终端 也不局限于单一的电话机,而且还有传真机和计算机等数据终端。现有的传输媒 介电缆、微波中继和卫星通信等将更多地采用数字传输。数字信号的载波调制是 信道编码的一部分,之所以在信源编码和传输通道之间插入信道编码是因为通道 及相应的设备对所要传输的数字信号有一定的限制,未经处理的数字信号源不能 适应这些限制。由于传输信道的频带资源总是有限的

9、,因此在充分得利用现有资 源的前提下,提高传输效率就是通信系统所追求的最重要指标之一。模拟通信很 难控制传输效率,最常见到的单边带调幅(SSB)或残留边带调幅(VSB)可以节 省近一半的传输频带。由于数字信号只有“0”和“1”两种状态,所以数字调制 完全可以理解为像报务员用开关键控制载波的过程,因此数字信号的调制方式一 般均为较简单的键控方式。现代通信系统的发展随着Verilog HDL等设计语言的 出现和 ASIC 的应用进入了一个新的阶段。由于大多数信号都是带通型的,所以 必须先用数字基带信号对载波进行调节,形成数字调制信号再进行传输。因而, 调制技术是实现现代通信的重要手段。本文在研究

10、ASK 系统的基础上,基于 FPGA设计了它的调制解调仿真实现方案。首先确定了 ASK系统的仿真方案。其 次编写了方案所需的程序,调制及解调实现功能。结果表明用 FPGA 控制 ASK 系 统的实现方法简单,误码率低。提高了数字通信系统的效率,降低了成本。1.2 选题目的意义这个课题是基于 FGPA 设计并制作一个 2ASK 调制解调器,实现数字信号对 载波的调制 和解调。通过这个课题理解掌握课题涉及的相关内容,熟练使用相 关开发工具软件Quartus II,熟悉数字信号载波调制解调的基本方式。现代通信 系统是一个十分复杂的工程系统,通信系统设计研究也是一项十分复杂的技术。 由于技术的复杂性,

11、在现代通信技术中,越来越重视采用计算机仿真技术来进行 系统。22ASK 系统工作原理及数学模型2.12ASK 的调制原理及设计方法数字幅度调制又称幅度键控(ASK),二进制幅度键控记作2ASK。2ASK是利 用代表数字信息“0”或“1”的基带矩形脉冲去键控一个连续的载波,使载波时断时 续地输出。有载波输出时表示发送 “1” ,无载波输出时表示发送“0” 。通过“0” 和“1”的组合,来发送数据。2ASK信号的产生方法通常有两种,模拟调制法(相乘器法)和键控法,如 下图(a)为一般的模拟幅度调制方法,用乘法器将基带信号和载波信号相乘来实 现的。图(b)是一种数字键控法,键控法是产生2ASK信号的

12、一种方法。在2ASK 中,载波的幅度只有两种变化状态,分别对应二进制信息“0”或“1”。一种常用的、 也是最简单的二进制振幅键控方式称为通-断键控(On Off Key in g)。所以2ASK又 称为通断控制(0OK)。最典型的实现方法是用一个电键来控制载波振荡器的输 出而获得。其中的开关电路受s(t)控制。图(c)是基带信号和调制信号波形。获)5(0(3)(b)coscezr图 1 2ASK 信号产生的方法及波形2.22ASK 解调原理及设计方法2ASK 信号解调的常用方法主要有两种:包络检波法和相干检测法。包络检波法的原理方框图如图2所示:调制后的2ASK信号先通过一个带通滤 波器(BP

13、F),滤除大部分的噪声,并使2ASK信号可完整地通过;经全波整流器 横轴下的波形翻转到横轴以上;再经过一个低通滤波器(LPF),低通滤波器的作 用是滤除高频杂波,使基带信号(包络)通过;通过抽样判决器,这样就可以还 原出基带信号。定时脉冲 S(t)图 2 2ASK 信号的包络解调相干检测法原理方框图如图 3 所示:相干检测就是同步解调,首先是 2ASK 信 号经过一个带通滤波器,滤除里面的噪声;经相乘器调制信号和 cos t 相乘会 产生两个频率信号,即一个高频信号和一个频率与基带信号频率一样的信号,我 们要得到基带信号,即是要它的低频成分;这样通过低通滤波器滤除高频成分即 可得到低频成分也就

14、是基带信号。LPF玮)脉冲解调器抽样 判決器BPF04*0-j t coayr图 3 2ASK 的相干解调3. 2ASK 各个模块的设计3.12ASK 的调制模块2ASK 调制的建模方框图如图 4 所示。图中为数字部分,输出信号为数字信号 其建模思想为:(1)采用数字载波信号数字载波信号产生的方法可以从外部输入,也可以 通过高频时钟信号分频得到。(2)采用键控法:调制在图 4 中,数字基带信号作为键控信号控制与门来 完成 ASK 调制。图 4 2ASK 的调制方框图3.22ASK 解调模块解调方框图如图 5 所示。解调器包括分频器,计数器,寄存器和判决器等。分 频器的功能是对时钟信号进行分频得

15、到与发送端数字载波相同的数字载波信号, 寄存器的功能是在时钟的上升沿到来时把数字 ASK 信号存入寄存器,计数器的功 能是利用分频器输出的载波信号作为计数器的时钟信号,在其上升沿到来时,对 寄存器中的ASK载波个数进行计数,当计数值m小于3时,输出为:0”,否则 则输出为: “1”,判决器的功能是:以数字载波作为判决时钟,对计数器输出信 号进行抽样判决,并输出解调后的基带信号。图 5 2ASK 的解调信号4.VHDL 程序设计4.12ASK 调制部分程序设计首先将频率为 fc 的时钟信号 CLK 分频产生频率为 fc/4 的载波信号,以四进制计数器q循环计数,图4.2所示为键控电路的VHDL程序设计流程图。图 6 2ASK 解调框图4.22ASK 解调程序设计图 7 2ASK 解调框图5. 2ASK 的仿真结果及分析5.1QuartusII 的介绍Altera Quartus II 作为一种可编程逻辑的设计环境, 由于其强大的设计能力和直观易用的接口,越来越受到数字系统设计者的欢迎。Altera Quartus II (3.0 和更高版本)设计软件是业界唯

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 学术论文 > 其它学术论文

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号