DDS正弦信号发生器课程设计报告

上传人:鲁** 文档编号:496876529 上传时间:2023-04-27 格式:DOC 页数:11 大小:256KB
返回 下载 相关 举报
DDS正弦信号发生器课程设计报告_第1页
第1页 / 共11页
DDS正弦信号发生器课程设计报告_第2页
第2页 / 共11页
DDS正弦信号发生器课程设计报告_第3页
第3页 / 共11页
DDS正弦信号发生器课程设计报告_第4页
第4页 / 共11页
DDS正弦信号发生器课程设计报告_第5页
第5页 / 共11页
点击查看更多>>
资源描述

《DDS正弦信号发生器课程设计报告》由会员分享,可在线阅读,更多相关《DDS正弦信号发生器课程设计报告(11页珍藏版)》请在金锄头文库上搜索。

1、EDA设计报告-基于DDS的正弦信号发生器 专 业: 班 级: 学 号: 姓 名: 目 录一、DDS信号发生器原理2二、基于DDS的正弦信号发生器设计实现3三、DDS信号发生器原理图6四、仿真结果7五、 硬件调试结果及分析7六、心得与体会9一. DDS信号发生器原理 对于正弦信号发生器,它的输出可以用下式来描述: 其中,Sout是指该信号发生器的输出信号波形,fout只输出信号对应的频率。上式的表述对于时间t是连续的,为了用数字逻辑实现该表达式,必须进行离散化处理,用基准时钟clk进行抽样,令正弦信号的的相位为在一个clk周期clk,相位的变化量为其中fclk指clk的频率对于2可以理解为“满

2、”相位,为了对进行数字量化,把2切割成2N,用词每个clk周期的相位增量用量化值B来描述:B=(2N)/2,且B为整数与上式联立可得:显然,信号发生器可以描述其中k-1指前一个clk周期的相位值,同样得出由以上推倒可以得出,只要对相位的量化值进行简单的累加运算,就可以得到正弦信号的当前相位值,而用于累加的香味增量量化值B决定了信号的输出频率fout并呈现简单的线性关系。直接数字合成器DDS就是根据以上原理而设计的数控频率合成器,下图为其基本DDS结构,主要有相位累加器、相位调制器、正弦ROM查找表构成图中的相位累加器、相位调制器、正弦ROM查找表是DDS结构中的数字部分, 二、 基于DDS的正

3、弦信号发生器设计实现根据设计原理框图分别设计出加法器、寄存器、正弦波ROM。 1、32位加法器ADDER32设计 在原理图文件文件下在空白处双击,单击“MegaWizard Plug-In Manager”选择第一项 选择器件为cyclone,语言方式为VerilogHDL。在算数项Arithmetic中选择计数器LPM_ADD_SUB.存于所建工程文件夹下命名为ADDER32. 单击NEXT,进入以后对话框后选择32位加法器工作模式选择有一位加法进位输出,选择有符号加法方式,选择2级流水线工作模式 ,此时该加法器变为有时序电路的模块,最后至finish按钮,编辑完成。 2、32位寄存器DFF

4、32设计 寄存器DFF32由LMP_FF宏模块担任,生成方法同ADDER32相同,设置位数为32位,且为时序控制模块。DFF32与ADDER32构成一个32位累加器其高十位A31.22为波形数据ROM的地址3. 正弦波ROM设计 a.首先通过mif文件来产生正弦波注意:在生成正弦波的时候要注意与sin_rom中的数据一致。即位DEPTH = 1024;WIDTH = 10;这样才能保证在最后的输出中能够有完整的正弦波图形输出。存盘并命名为sin_rom.mifb.LPM_ROM的订制:按ADDER32的产生方法来产生LMP_ROM,在宏模块选择中选“Memory Complier”中“ROM:

5、1-PORT”项,依次设定地址线与数据线的位宽均为十位,最后产生LMP_ROM。三、 DDS信号发生器原理图原理图共分为三大部分:相位累加器,相位调制器,正弦ROM查找表。1. 相位累加器相位累加器是DDS的核心,完成相位累加的过程其输入可以称为频率字输入在输入时增加一个寄存器可以使频率字改变时不会干扰相位累加器的正常工作2. 相位调制器 相位调制器接受相位累加器的相位输出,加上一个相位偏移值,可用于信号的相位调制。加一个寄存器可用于信号的同步保持。如图10所示3. 正弦ROM查找表 正弦ROM的输入是相位调制器的输出,即ROM的地址值,输出送往DAC转化为模拟信号。四、仿真结果 五、 硬件调

6、试结果及分析 调试、仿真成功后进行硬件调试阶段,在“Assignment”菜单下选择“Device”项进行器件选择Cyclone中的EP1C12Q240C8器件,在“Assignment”菜单下选择”pins”项,进行管脚锁定。键1(PIO0)B17233 DAC 2217键2(PIO1)B16234 DAC 3219键3(PIO2)B15235 DAC 4223键4(PIO3)B14236 DAC 5225键5(PIO4)F17237 DAC 6226键6(PIO5)F16238 DAC 7224键7(PIO6)F15239 DAC 8222键8(PIO7)F14240 DAC 9218 C

7、lock0 clk28 管脚锁定后再次进行编译,成功后选择“tools”菜单下“programmer”项进行下载。用示波器进行波形观察。选择模式0。键1至键4用来控制频率字的输入,键5至键8控制相位字的输入,clock0选择20MHz,。按动键1至键4调节频率子输入,键5至键8调节相位字输入结果如图所示:当改变频率字时波形变化如图所示当改变相位字时波形如所示当同时改变频率字与相位字时,波形如图所示硬件调试结果分析:该调试的结果满足了实验的要求,验证了DDS信号发生器的功能,即能够调节频率与相位。六、心得与体会通过这次的课程设计,我复习并进一步熟练了Quartus 的基本功能和操作,掌握了Ver

8、ilog HDL语言的设计输入、编译、仿真和调试等过程。 我的几点体会如下:首先,在编写顶层文件的过程中,要注意保证设计的芯片与书上要求的一致,这样才能减少在编译过程中的错误;其次,ROM中关于波形的设置要与.m文件中的参数一致,以减少波形的失真;最后,连接试验箱下载时要注意示波器与实验箱连接处是否良好,以减少波形失真。此外通过调节实验箱上的幅度按钮可以保证示波形的完整。通过这次实验,我也发现了自己的知识缺陷。在定制ROM的过程中,没将波形参数与.m文件中的参数保持一致,导致后面的步骤出现了误差。在使用硬件实验箱进行下载时,由于对实验箱构造不熟练,浪费了大量时间。这次的EDA课程设计让我感觉到,Quartus这款软件的功能的强大,也深深体会到自己掌握的功能只是九牛一毛!提升的空间还很大,后面的学习中,我将积极的把所学知识与实际操作相结合,增加动手实践的经历,探索并掌握更多Quartus的未知功能。

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 建筑/环境 > 施工组织

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号