EDA技术综合设计课程设计报告基于VHDL的1616汉字点阵动态显示系统的设计

上传人:cn****1 文档编号:496489596 上传时间:2022-08-12 格式:DOC 页数:17 大小:229KB
返回 下载 相关 举报
EDA技术综合设计课程设计报告基于VHDL的1616汉字点阵动态显示系统的设计_第1页
第1页 / 共17页
EDA技术综合设计课程设计报告基于VHDL的1616汉字点阵动态显示系统的设计_第2页
第2页 / 共17页
EDA技术综合设计课程设计报告基于VHDL的1616汉字点阵动态显示系统的设计_第3页
第3页 / 共17页
EDA技术综合设计课程设计报告基于VHDL的1616汉字点阵动态显示系统的设计_第4页
第4页 / 共17页
EDA技术综合设计课程设计报告基于VHDL的1616汉字点阵动态显示系统的设计_第5页
第5页 / 共17页
点击查看更多>>
资源描述

《EDA技术综合设计课程设计报告基于VHDL的1616汉字点阵动态显示系统的设计》由会员分享,可在线阅读,更多相关《EDA技术综合设计课程设计报告基于VHDL的1616汉字点阵动态显示系统的设计(17页珍藏版)》请在金锄头文库上搜索。

1、EDA技术综合设计课程设计报告报 告 题 目: 基于VHDL的1616汉字 点阵动态显示系统的设计 作者所在系部: 电子工程系 作者所在专业: 电子信息工程 作者所在班级: B08212 作 者 姓 名 : 指导教师姓名: 完 成 时 间 : 2010年12月14日 内 容 摘 要随着电子技术的不断革新,以二极管为基础的LED点阵随之获得了广泛的应用。在日常生活中,点阵随处可见。通过多种控制手段,点阵还可以实现各种文字和图案的动态显示。而在不同的应用场合,点阵的设计要求是不同的。传统的思路一般是应用单片机实现点阵控制,但这种方法会受到一定的硬件资源限制。随着电子设计自动化技术的进展,基于可编程

2、逻辑器件进行系统芯片集成的点阵控制不失为一种新的设计思路。本设计以电子设计自动化(EDA)技术为核心,运用硬件描述语言(VHDL),在MAX+plus环境下进行编程并下载到可编程逻辑器件中实现LED点阵的控制电路。主要程序除了常用的译码器、计数器之外,还包括了自定义功能的控制模块。通过编程、调试、仿真、下载完成了汉字的动态显示。彰显了EDA技术在当今电子领域中的重要地位。 关键词:EDA、可编程逻辑器件、计数器、点阵、动态目 录一、 概 述 5二、方案设计与论证 51、点亮点阵中一个点的原理 52、点亮一个字的原理 53、动态显示不同汉字的原理 5三、底层文件与程序设计 61十六进制计数器 6

3、 2八进制计数器 7 3字显示控制模块 8四、系统调试与仿真 121、开发环境介绍122、新建项目 123、输入程序 124、编译 135、时序仿真 136、设计顶层文件 147、选择器件 148、设置管脚 149、下载 14五、硬件调试 15六、心得体会 15七、参考文献 15八、指导教师及评语16 课程设计任务书课题名称基于VHDL的1616 点阵汉字动态显示系统的设计完成时间2010.12.14指导教师胡 职称副教授学生姓名 班 级B08212总体设计要求和技术要点1在时钟信号的控制下,使点阵动态点亮,点亮方式自行设计,其中位选信号为16-4编码器编码输出。2设计8位开关控制的8种图案显

4、示。3设计4位或4位以上的汉字循环显示,例如“北华航天工业学院” 。工作内容及时间进度安排第15周:立题、论证方案设计周六、周日 :相关的VHDL语言程序设计与调试第16周:周1:进入EDA实验室进行硬件与软件的调试周2:验收答辩课程设计成果1与设计内容对应的软件程序2课程设计报告书3成果使用说明书4设计工作量要求一、概述 1616LED点阵由256个发光二极管排成正方形而成,当地二极管一端为高电平,一端为低电平时即可导通发光。通过控制相应的二极管导通或截止,就可以显示控制显示不同的汉字。而要完成汉字动态显示,则需别外设计一个“字显示控制模块”用以控制汉字的动态切换。当要显示的汉字较多时,为了

5、能使点阵迅速恢复到第一个字,还要设置一复位端口。对于汉字的切换速度,可以通过时钟频率进行控制。在以上显示过程中,要力求达到汉字清晰、连续、稳定、无闪烁的设计要求。 二、方案设计与论证本设计列扫描信号4线-16线译码器的输出,行扫描信号规律则由人为定义,从而控制汉字的显示。1、点亮点阵中一个点的原理点阵由行线与列线控制,行线高电平有效,列线低电平有效。通过控制4线-16线译码器的输出,控制点阵中某个点的行控制信号为高电平,列控制信号为低电平(即顺向偏压),该点即被点亮。通过控制行扫描和列扫描,可以点亮点阵中的任意一个点。 2、点亮一个字的原理在任一时刻,点阵中只有一个点能被点亮。为了达到一个点持

6、续点亮的视觉效果,必须考虑人眼的暂留特性,即控制列扫描频率大于128HZ,再配合控制行扫描信号,即可“同时点亮”各相应的点从而形成汉字。3、动态显示不同汉字的原理 为了显示多个不同的汉字,需要设计一个计数器,每一个汉字对应计数器的一个输出状态。由4线-16线译码器输出的列扫描信号始终不变,而行扫描信号则由计数器控制,计数器的每一个状态控制一组行扫描信号,这样,在计数器计数输出的同时,实现了多个汉字的切换。由以上给出的设计指标, 该点阵显示器主要包括以下几个部分:1用于行扫描的16进制计数器;2用于控制汉字切换的带复位端口的8进制计数器;3用于控制显示不同汉字的字显示控制模块;以下是1616点阵

7、汉字显示原理框图(图1):1616点阵4线-16线译码器74LS1382片754518片字显示控制模块时钟信号源18进制计数器16进制计数器时钟信号源2图1 1616点阵汉字显示原理框图三、底层文件与程序设计1、十六进制计数器; 十六进制计数器用于行线扫描,十六个状态分别对应点阵的十六行,通过程序给每一行设置相应的代码,可控制相应的点发光,在足够大的扫描频率下,即可显示出字形。该计数器带有复位端口。十六进制计数器的源程序如下:Library ieee;USE ieee.std_logic_1164.ALL;USE ieee.std_logic_arith.ALL;USE ieee.std_lo

8、gic_unsigned.ALL;ENTITY js_16 ISPORT ( clk,re:IN std_logic; q:OUT std_logic_vector(3 DOWNTO 0);END js_16;ARCHITECTURE count_16 OF js_16 ISSIGNAL tmp:std_logic_vector(3 DOWNTO 0);BEGINq=tmp;PROCESS(clk,re) BEGIN IF re=1 THEN tmp=0000; ELSIF clkevent AND clk=1 THEN IF tmp=1111 THEN tmp=0000; ELSE tmp=

9、tmp+1; END IF; END IF;END PROCESS;END count_16;2、八进制计数器八进制计数器用于控制汉字的动态切换,其工作频率就是汉字切换频率,本设计要显示八个字,恰好对应八进制计数器的八个状态。该计数器带有复位端口,并与上述十六进制计数器共用一个复位信号。八进制计数器的源程序如下:Library ieee;USE ieee.std_logic_1164.ALL;USE ieee.std_logic_arith.ALL;USE ieee.std_logic_unsigned.ALL;ENTITY js_8 ISPORT ( clk,re:IN std_logic;q:buffer std_logic_vector(2 DOWNTO 0);END js_8;ARCHITECTURE count_8 OF js_8 ISBEGINPROCESS(clk,re)BEGINIF re=1 THEN q=000;ELSIF clkevent AND clk=1 THENIF q=111 THEN q=000;ELSE qcase n is when 1111 =qqqq=000100001000000

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 资格认证/考试 > 自考

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号