简易电子琴 实训报告

上传人:人*** 文档编号:495923519 上传时间:2022-12-31 格式:DOCX 页数:16 大小:348.09KB
返回 下载 相关 举报
简易电子琴 实训报告_第1页
第1页 / 共16页
简易电子琴 实训报告_第2页
第2页 / 共16页
简易电子琴 实训报告_第3页
第3页 / 共16页
简易电子琴 实训报告_第4页
第4页 / 共16页
简易电子琴 实训报告_第5页
第5页 / 共16页
点击查看更多>>
资源描述

《简易电子琴 实训报告》由会员分享,可在线阅读,更多相关《简易电子琴 实训报告(16页珍藏版)》请在金锄头文库上搜索。

1、基于的数字系统设计项目项目名称:基于VHDL的简易电子琴姓 院 专 学名: 系: 业: 号指导教师:完成时间: 2012年 6月20日基于 FPGA 的数字系统设计项目成绩评价表设计题目基于VHDL的简易电子琴设计要求1)设计 个简易电子琴;2)利用实验箱的脉冲源产生1, 2, 3,。共7个或14个音阶信号;3)用指示灯显示节拍;4)*能产生颤音效果。设计过程米用现场可编程逻辑器件(FPGA)制作,利用EDA软件中的VHDL 硬件描述语言编程进行控制,然后烧制实现。采用的是现场可编程逻辑器件来实现,它的优点是所有电路集 成在一块芯片上,此方案所需的外围电路简单,这样它的体积就 减少了,同时还提

2、高了系统的稳定度。由设计者把编好VHDL程序烧制到现场可编程逻辑器件FPGA中, 然后通过控制输入电路把乐谱输入到FPGA,产生不同的频率驱 动扬声器,发出不同的乐谱。同时也把发出的乐谱符号通过显示 器输出。项目设计 成绩评价评价项目指标满分评分工作量、工 作态度和 出勤率按期圆满的完成了规定的任务,难易程 度和工作量符合教学要求,工作努力, 遵守纪律,出勤率高,工作作风严谨, 善于与他人合作。30课程设计 质量项目设计系统架构合理,设计过程简练 正确,分析问题思路清晰,结构严谨, 文理通顺,撰写规范,图表完备正确。40创新工作中有创新意识,对前人工作有一些 改进或有一定应用价值。15答辩能正

3、确回答指导教师所提出的问题。15综合成绩等级指导教师:年月日目录1项目名称、内容与要求4页1.1设计内容4页1.2具体要求4页2 系统整体架构(Architecture Description) 4页2.1设计思路4页2.2系统原理(包含:框图等阐述)与设计说明等内容4页2.3创新点与原创性内容5页3系统设计(含HDL或原理图输入设计)5页注:此部分包含主要逻辑单元、模块、源代码等内容3.1 HDL代码5页3.2系统整体电路图(或RTL级电路图)7页4 系统仿真(Simulation Waveform) 7 页5 FPGA 实现(FPGA Implementation) 9 页10页11页12

4、页6 总结(Closing)参考书目( Reference)附录(Appendix ):1、 项目名称、内容与要求1.1 设计内容:设计一个简易的八音符电子琴,它可通过按键输入来控制音响。1.2具体要求:1、设计一个简易电子琴;2、利用实验箱的脉冲源产生 1,2,3,。共 7 个或 14 个音阶信号;3、用指示灯显示节拍;4、*能产生颤音效果。2、系统整体架构2.1设计思路本课程设计目的在于灵活运用EDA技术编程实现一个简易电子琴,它要求 在实验箱上构造一个电子琴电路,不同的音阶对应不同频率的正弦波。按下每个 代表不同音阶的按键时,能够发出对应频率的声音2.2系统原理采用现场可编程逻辑器件(F

5、PGA)制作,利用EDA软件中的VHDL硬件描述 语言编程进行控制,然后烧制实现。采用FPGA来设计的原理图如图1.1所示。 它由控制输入电路、FPGA、显示电路和扬声器电路组成。图1.1采用FPGA设计的电子琴原理方框图控制输入电路主要是为用户设计的,起到一个输入控制的作用。FPGA是现场 可编程逻辑器件,也是本设计方案的核心内容,它是实现电子琴运作的主要控制 模块。由设计者把编好VHDL程序烧制到现场可编程逻辑器件FPGA中,然后通过 控制输入电路把乐谱输入到FPGA,产生不同的频率驱动扬声器,发出不同的乐 谱。同时也把发出的乐谱符号通过显示器输出。2.3创新点与原创性内容 对于电子琴的设

6、计,很多方案均可以实现,但是采用数字逻辑电路来制作 的话电路硬件所需的器材多,体积庞大,比较复杂,而且精度和稳定度都不是很 高。如果采用的是现场可编程逻辑器件来实现, 它的优点是所有电路集成在一块 芯片上,此方案所需的外围电路简单,这样它的体积就减少了,同时还提高了系 统的稳定度。还可以用Modelsim XE 5.3d软件进行仿真和调试等。设计人员可 以充分利用VHDL硬件描述语言方便的编程,提高开发效率,缩短研发周期,降 低研发成本;而且易于进行功能的扩展,实现方法灵活,调试方便,修改容易。 因此,电子琴的设计我们选择采用现场可编程逻辑器件(FPGA)制作来实现。3系统设计3.1 HDL代

7、码library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity speakera isport( clk : in std_logic;-时钟输入index:in std_logic_vector( 6 downto 0);- 按键输入spks: out std_logic);-扬声器输出end;architecture one of speakera issignal preclk,fullspks :std_logic;signal tone: std_logic_vector( 10 dow

8、nto 0); beginsearch : process (index)begincase index iswhen 0000001 = tone tone tone tone tone tone tone tone = 11111111111;end case;end process;divdeclk :process(clk)variable count4 :std_logic_vector( 3 downto 0);beginpreclk 11 then preclk = 1; count4 :=0000; elsif clkevent and clk=1 then count4 :=

9、 count4 + 1; end if;end process;genspks : process(preclk,tone)variable count11 : std_logic_vector( 10 downto 0);beginif preclkevent and preclk = 1 thenif count11 =16#7ff# then count11 := tone;fullspks = 1; else count11 := count11 + 1;fullspks = 0;end if;end if;end process;dealyspks : process( fullsp

10、ks)variable count2 : std_logic;beginif fullspksevent and fullspks = 1 then count2 := not count2;if count2 = 1 then spks = 1;else spks “程序” 一Altera Quartusll(2) 选择FileNew Project Wizard界面,单击Next按钮,进入工程名称 的设定、工程目录的选择。(3) 在对话框中,指定工程存放的目录、工程名和顶层实体名,工程名和顶层 实体名要求相同,工程目录可以随意设置,但必须是英文的目录,单击 Next按钮。(4) 用户指定目

11、标器件,根据开发板所使用的期间来选择,单击Next按钮。(5) 新建一个VHDL语言。(6) 把程序代码输入,编译、运行程序,单击processingstart comilation, 运行程序。2. 引脚分配(1) 单击 assignmentstiming analysis setting 进行弓丨脚分配(2) 直接导入弓丨脚分配,单击assignmentsimpor t assignmen ts(3) 弓脚分配完成3产生波形图(1) 创建波形文件,单击Fileot her filesvec tor waveform file(2) 点击空白处,点开 INSERT-insert node,再点击一node finder一list, 把元器件添加进去,得到其仿真波形图系统整体组装图:6 总结(Closing)通过两个周的学习,最后完成了我的设计任务基于 VHDL 语言的简 易电子琴的设计。通过本次课程设计的学习,我对数据库软件EDA技术、VHDL、 等系列知识都有了一定的了解。使用EDA技术开发页面的能力也有了很大提高。我深深的体会到设计课的重要性和目的性所在。本次设计课不仅仅培 养了我们实际操作能力,也培养了我们灵活运用课本知识,理论联系实际,独立 自主的进行设计的能力。它不仅仅是一个学习新知识新方法的好机会,同时也是 对我所学知识的一次综合的检验和复习,使我明白

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 学术论文 > 其它学术论文

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号