七段数码管显示译码器

上传人:人*** 文档编号:493566551 上传时间:2023-07-26 格式:DOC 页数:7 大小:181.50KB
返回 下载 相关 举报
七段数码管显示译码器_第1页
第1页 / 共7页
七段数码管显示译码器_第2页
第2页 / 共7页
七段数码管显示译码器_第3页
第3页 / 共7页
七段数码管显示译码器_第4页
第4页 / 共7页
七段数码管显示译码器_第5页
第5页 / 共7页
点击查看更多>>
资源描述

《七段数码管显示译码器》由会员分享,可在线阅读,更多相关《七段数码管显示译码器(7页珍藏版)》请在金锄头文库上搜索。

1、可编程逻辑器件技术工程设计报告课题名称:七段数码管显示译码器班 级:13电子(2)班姓 名:许宋如指导老师:龚兰芳一、设计要求1用VHDL语言设计一个可以实现以下功能的程序:输入时思位二进制数,输出时对应的十进制。2用四个开关代表四位二进制数,单个数码管显示对应的十进 制数。3编完程序之后弁在开发系统上进行硬件测试。二、任务分析用来驱动各种显示器件, 从而将用二进制代码表示的数字, 文 字,符号翻译成人们习惯的形式直观的显示出来的电路, 称为显 示译码器。这种显示译码器用多种发光器件构成。例如半导体发光二极管,液晶灯。以发光二极管的七段数码管显示译码器为例进行说明。r */ * Ijp (永珑

2、片人0七段字形译码器的真值表:表Z1302七段字型译码器的真值表原号D C0 0 0 0 00 0 0 10 0 100 0 110 10 00 10 10 1100 111810 0 09 1 0 0 1设计原理图:d efg字形11111 0 110 0 110 11 11110 0 110 0 10 110 0 0 111 1110 0 11111 1110 0四、硬件要求主芯片EPM240T100C5,4t开关,一个共阴七段数码管J714 + hd+hET3 -C五、源程序library ieee;use ieee.std_logic_1164.all;entity yima ispo

3、rt(l:out std_logic_vector(5 downto 0);d0,d1,d2,d3:in std_logic;a,b,c,d,e,f,g:out std_logic);end yima;architecture behav of yima issignal m:std_logic_vector(3 downto 0);signal seg7:std_logic_vector(6 downto 0);beginl= 011111 ;m=d3&d2&d1&d0;with m selectseg = 011111 when 0000,“0000110 when 0001,“10110

4、11 when “0010,1001111 when “0011“1100110 when 0100, “1101101 when “0101, “1111101 when “0110, “0000111 when “0111, “1111111 when “1000, “1100111 when “1001, “1110111 when “1010, “0111001 when “1011, “0111001 when “1100, “1011110 when 1101, “1111001 when “1110, “1110001 when “1111, “0000000 when othe

5、rs;g=seg7(6);f=seg7(5);e=seg7(4);d=seg7(3);c=seg7(2);b=seg7(1);a=seg7(0);end behav;六、接线图Node NameDirectionLocationT/OBank1aOutputPIN_9122bOutputPIN_92J32cOutputPIN_95TH 4odOutputPIN_9625dOInputPIN_3016AdlInputPIN_3317ad2InputPIN_341aInputPIN_3519eOutputPIN史210fOutputPIN_98T111gOutputPINJ5212new node七、现象描述在硬件上测试,现象结果是,当被分配的引脚的四个拨码开关 打到相应位置的二进制对应的七段显示器数码管上显示出相应的字形,例如:当拨码开关对应的二进制为1000的是字形8.八、总结通过这次课题实验,熟悉了各程序模块的编写特点,主要是进 程语句模块,和结构体以及实体模块的程序编写。 相较之前现在 可以比拟熟练的使用这几个模块。 对硬件的认识也比拟清晰, 在 分配引脚时可以比拟灵活应用,不用都照搬书里内容

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 医学/心理学 > 基础医学

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号