eda课程设计拔河游戏机

上传人:cn****1 文档编号:493157759 上传时间:2023-12-22 格式:DOC 页数:10 大小:824.50KB
返回 下载 相关 举报
eda课程设计拔河游戏机_第1页
第1页 / 共10页
eda课程设计拔河游戏机_第2页
第2页 / 共10页
eda课程设计拔河游戏机_第3页
第3页 / 共10页
eda课程设计拔河游戏机_第4页
第4页 / 共10页
eda课程设计拔河游戏机_第5页
第5页 / 共10页
点击查看更多>>
资源描述

《eda课程设计拔河游戏机》由会员分享,可在线阅读,更多相关《eda课程设计拔河游戏机(10页珍藏版)》请在金锄头文库上搜索。

1、子信息科学与技术专业课程设计任务书学生姓名专业班级学号题 目拔河游戏机课题性质A课题来源D指导教师同组姓名主要内容1.本电路要求使用9个发光二极管,开机后只有中间一个发亮,此即拔河的中心点2.游戏双方各持一个按钮,迅速地、不断地按动,产生脉冲,谁按得快,亮点就向谁的方向移动,每按一次,亮点移动一次。3.亮点移到任一方终端二极管时,这一方就获胜,此时双方按钮均无作用,输出保持,只有复位后才使亮点恢复到中心。最后用数码管显示获胜者的盘数。任务要求(1) 制定完整的设计方案,结合框图进行说明。(2) 给出主要模块的VHDL程序。(3) 如有可能,在实验箱上进行下载验证。(4) 给出完整的设计报告。参

2、考文献1、2、3、 刘艳萍。EDA实用技术及应用 国防工业出版社 2006 4、 李永忠.几种小波变换的图像处理技术.西北民族学院学报2001.6,22审查意见指导教师签字:教研室主任签字: 2012年 2月 20日说明:本表由指导教师填写,由教研室主任审核后下达给选题学生,装订在设计(论文)首页1 设计任务及要求1.本电路要求使用9个发光二极管,开机后只有中间一个发亮,此即拔河的中心点2.游戏双方各持一个按钮,迅速地、不断地按动,产生脉冲,谁按得快,亮点就向谁的方向移动,每按一次,亮点移动一次。3.亮点移到任一方终端二极管时,这一方就获胜,此时双方按钮均无作用,输出保持,只有复位后才使亮点恢

3、复到中心。最后用数码管显示获胜者的盘数。 设计分析2设计原理及总体框图总体框图按键.控制电路中心线显示复位整形电路选择开关可逆计数器译码器取胜计时器取胜显示基本原理本电路要求使用9个发光二极管,开机后只有中间一个发亮,此即拔河的中心点。游戏双方各持一个按钮,迅速地、不断地按动,产生脉冲,谁按得快,亮点就向谁的方向移动,每按一次,亮点移动一次。亮点移到任一方终端二极管时,这一方就获胜,此时双方按钮均无作用,输出保持,只有复位后才使亮点恢复到中心。最后用数码管显示获胜者的盘数。由设计内容可知,首先需要一个十进制的计数器,用于对双方按钮的次数计数,并通过译码器显示在数码管上。设计要求用50MHz的频

4、率,而设计用到的是1K Hz的频率,所以要设计一个程序进行分频。其次,显视控制部分设计要求在发光二极管上显示游戏状态,双方每按十次,亮点向先按十次移动一次,对脉冲进行计数,每十次移一位。需接入一个清零端 ,用于复位。再次,运用VHDL程序语言进行各个模块的程序编写,控制电路的正常运行。最后,将以上程序组装起来,就可得到所需要的拔河游戏机。顶层文件3 程序设计计数模块library ieee;use ieee.std_logic_1164.all; entity cnt10 isport(clk,rst,en:std_logic;cout:out std_logic;cq:out std_log

5、ic_vector(2 downto 0);end;architecture one of cnt10 isbeginprocess(clk,rst,en)variable cqi:std_logic_vector(2 downto 0);begin if rst=1 then cqi:=(others=0);elsif clkevent and clk=1 then if en=1 then if cqi0);end if ;end if;end if;if cqi=3 then cout=0 ;else cout=1;end if;cq=cqi;end process;end;灯移模块li

6、brary ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity lmov is port (kl ,kr:in std_logic_vector(2 downto 0);led:out std_logic_vector(6 downto 0);en : out std_logic;rst:in std_logic);end ;architecture one of lmov isbegin process(rst,kl,kr)begin if rst=1 then led=1110111;en=1;el

7、sif kl-kr=1 then led=1101111;en=1;elsif kl-kr=2 then led=1011111;en=1;elsif kl-kr=3 then led=0111111;en=0;elsif kr-kl=1 then led=1111011;en=1;elsif kr-kl=2 then led=1111101;en=1 ;elsif kr-kl=3 then led=1111110;en=0;elsif kr-kl=0 then led=1110111;enbt=10111111;abt=11011111;abt=11101111;abt=11111011;a

8、bt=11111101;abt=11111110;abt=11111111;end case ;end process p1;p2:process (clk)variable ct:integer range 0 to 50000;begin if clkevent and clk=1 then -1000HZ if ct49999 thenct:=ct+1;clk1=0;else ct:=0;clk1=1;end if;end if;end process p2;process(clk1)begin if clk1event and clk1=1 thenif cnt45 thencnt4=

9、cnt4+1;else cnt4sgsgsgsgsgsgsgsgnull;end case ;end process;end;设计程序时必须事先再复习课本,更多的去了解课本,先学习设计程序时要考虑的问题,对于不同的问题要采取什么样的语法,使用语法时要注意运用的正确性,语法使用正确,大概框图也设计好,那么编程的主要问题也就解决了。4 编译及仿真计数器波形图灯移波形图扫描波形图编完程序下一步就开始调试:程序编写好了之后便是编译和仿真,并不断地调试。直至没有错误出现。对于输入的信号要考虑设置的正确性,是否符合逻辑电路和时序电路的输入逻辑。如果输入不当,那么得到的结果就会与实际相去甚远甚至与实际结果相

10、违背。5 硬件调试与结果分析6 参考文献1.2.3.刘艳萍。EDA实用技术及应用 国防工业出版社 2006 4.李永忠.几种小波变换的图像处理技术.西北民族学院学报2001.6,22心得体会通过这次课题设计我发现自己对电子设计这方面非常缺乏头脑,很多在别的同学看来都很简单的问题我却搞不懂,心里很沮丧。这可能与自己所学的知识的熟练程度有关,书本没有学好,想做好一个课题是很难的。从此处我看到了以往学习的不足,在今后的学习中自己还要多加改善学习方法,自己多加潜心研究,争取把这项必修课给学好。这次课程设计我学习了quarters II并初步进行课题设计,把过去课堂上学到的知识通过自己的整理和发挥尽量的运用到自己的设计中。虽然设计过程中遇到了重重麻烦,许多问题非常棘手,但经过在图书馆查阅书籍之后或多或少都能明白一些,给自己了极大的鼓舞。这次深刻的理解了理论知识是设计课题的必要基础,只有把课本上的例题都看懂,并能读懂程序,那么在自己设计的时候就你能起到启迪思维的作用,理论和实践相结合才是最好的学习方法。

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 商业/管理/HR > 商业计划书

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号