ask调制与解调实验

上传人:鲁** 文档编号:492789696 上传时间:2022-11-30 格式:DOCX 页数:4 大小:43.36KB
返回 下载 相关 举报
ask调制与解调实验_第1页
第1页 / 共4页
ask调制与解调实验_第2页
第2页 / 共4页
ask调制与解调实验_第3页
第3页 / 共4页
ask调制与解调实验_第4页
第4页 / 共4页
亲,该文档总共4页,全部预览完了,如果喜欢就下载吧!
资源描述

《ask调制与解调实验》由会员分享,可在线阅读,更多相关《ask调制与解调实验(4页珍藏版)》请在金锄头文库上搜索。

1、赠四ASK调制諭雕鮒一、实验目的1、理解ASK调制的工作原理及电路组成。2、理解ASK解调的原理及实现方法。3、了解ASK信号的频谱特性。二、实验内容1、观察ASK调制与解调信号的波形。2、观察ASK信号频谱。三、实验仪器1、信号源模块2、数字调制模块3、数字解调模块4、同步提取模块5、20M双踪示波器一台6、连接线若干7、频谱分析仪四、实验原理1、2ASK调制原理ASK基带信号经过电压比较器(LM339),输出高/低电平驱动模拟开关(74HC4066) 导通/关闭,ASK载波通过电压跟随电路(TL082)提高带负载能力,然后通过模拟开关电 路选择通过/截止,最后得到ASK调制信号输出。2、2

2、ASK解调原理本实验采用的是包络检波法,ASK调制信号经过RC组成的耦合电路,输出波形可从 0UT1观察,然后通过半波整流器(由1N4148组成),输出波形可从0UT2观察,半波整 流后的信号经过低通滤波器(由TL082组成),滤波后的波形可从0UT3观察,再经过电 压比较器(LM339)与参考电位比较后送入抽样判决器(74HC74)进行抽样判决,最后得 到解调输出的二进制信号。标号为ASK判决电压调节”的电位器用来调节电压比较器的 判决电压。判决电压过高,将会导致正确的解调结果的丢失;判决电压过低,将会导致 解调结果中含有大量错码,因此,只有合理选择判决电压,才能得到正确的解调结果。抽样判决

3、用的时钟信号就是ASK基带信号的位同步信号五、实验步骤1、将信号源模块、数字调制模块、数字解调模块、同步提取模块小心地固定在主机 箱中,确保电源接触良好。2、插上电源线,打开主机箱右侧的交流开关,再分别按下四个模块中的开关P0WER1. P0WER2,对应的发光二极管LED01、LED02发光,按一下信号源模块的复位键, 四个模块均开始工作。(注意,此处只是验证通电是否成功,在实验中均是先连 线,后打开电源做实验,不要带电连线)3、ASK调制实验(注意源端口输出是否正常)1)连线:源端口目的端口测试端口信号源模块:周期性NRZ码(128分频)数字调制模块:ASK基带输入ASK基带输入信号源模块

4、:64KHz正弦波(幅度3V左右)数字调制模块:ASK载波输入ASK调制输出2)设置信号源的NRZ码,在测试端口用双踪示波器观察并记录。3)改变送入的基带信号和载波信号,重复上述实验。4、ASK解调实验(1)关闭系统电源,保持调制实验部分连线不变,继续增加以下连线。(2)将“ASK调制输出”的输出信号送入数字解调模块的信号输入点“ASK-IN”, 观察信号输出点“ASK-OUT”处的波形,并调节标号为“ASK判决电压调节”的 电位器,直到在该点观察到稳定的NRZ码为止。(3)将同步信号提取模块的拨码开关SWO1的第一位拨上。(4)将“ASK-OUT”点波形送入同步信号提取模块的信号输入点“NR

5、Z-IN”,再将同 步信号提取模块的信号输出点位同步输出”输出的波形送入数字解调模块的 信号输入点ASK-BS,观察并记录信号输出点“OUT1”、“0UT2”、“OUT3”、“ASK解调输出”处的波形,并与信号源产生的NRZ码进行比较。(5)改变信号源产生的NRZ码的设置,重复上述观察。六、实验结果ASK调制/解调输出测试点输出的波形(已调波波形随SWO1、SW02、SW03设置的改变而变化)测试点波形参数ASK调制ASK基带输入24位NRZ码:码元宽度:分频比:ASK 载波 输入周期:ASK调制输出ASK解调ASKOUT 测试 点OUT1测试点OUT2测试点OUT3测试点ASK解调输出码元宽度:说明:ASKIN:数字调制ASK调制输出测试点输出的已调波;ASKBS:从ASK已调波中提取的位同步信号;0UT1: ASK已调波经耦合电路后的信号输出点;0UT2: ASK已调波经二极管检波电路后的信号输出点;0UT3:ASK检波后的信号经低通滤波器后的信号输出点;ASKOUT: ASK解调信号经电压比较器后的信号输出点(未经同步判决);ASK解调输出:解调后波形七、思考题1、设计ASK的相干解调原理框图。

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 学术论文 > 其它学术论文

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号