码的编码仿真与实现课程设计报告

上传人:pu****.1 文档编号:492657798 上传时间:2023-01-05 格式:DOC 页数:23 大小:552KB
返回 下载 相关 举报
码的编码仿真与实现课程设计报告_第1页
第1页 / 共23页
码的编码仿真与实现课程设计报告_第2页
第2页 / 共23页
码的编码仿真与实现课程设计报告_第3页
第3页 / 共23页
码的编码仿真与实现课程设计报告_第4页
第4页 / 共23页
码的编码仿真与实现课程设计报告_第5页
第5页 / 共23页
点击查看更多>>
资源描述

《码的编码仿真与实现课程设计报告》由会员分享,可在线阅读,更多相关《码的编码仿真与实现课程设计报告(23页珍藏版)》请在金锄头文库上搜索。

1、合 肥 学 院课 程 设 计 报 告题 目:_ 5B6B编码的仿真与实现 _系 别:_ 电子信息与电气工程系 _专 业:_ 通信工程_ _班 级:_ _10通信2班_ _学 号:_40_32 33_姓 名:_ 徐杰 曹小虎 张新文_ _导 师:_ 张倩_ _ _成 绩:_ _2013年 12月 07日现代通信技术课程设计论文题目5B6B编码的仿真与实现设计类型工程应用导师姓名张倩主要内容及目标仿真与实现5B6B的编码,要求:1、了解5B6B编码原理;2、对5B6B编码进行仿真模型设计;3、运用MATLAB软件或硬件描述语言对5B6B编码进行设计,并对各模块进行分析、仿真与验证;4、要求有系统框

2、图,电路原理图,软件流程图,模拟仿真结果图。具有的设计条件计算机MATLAB软件 Quartus II软件计划学生数及任务计划需要3人:1人主要进行5B6B编码仿真模型设计;1人用MATLAB仿真实现。1人分析5B6B编码用硬件描述语言的实现方案计划设计进程第12周 查资料了解5B6B编码原理,设计实现方案,并进行仿真第13周 仿真实现5B6B编码,同时完成课程设计报告参考文献1李勇权, 刘永强, 何云状, 樊建明.一种基于FPGA的5B6B编译码的实现J. 微计算机信息 , 2007,(14)2 张少锋, 杨章顺, 戴琦, 齐恒, 冉立新. 光纤数字通信系统5B6B编译码的FPGA实现J.

3、光通信技术 , 2004,(12)3 刘增基,周洋溢,胡辽林,周绮丽. 光纤通信 (第二版) M. 西安:西安电子科技大学出版社,2008.12目录一、软件硬件开发平台简介21.1 Quartus2软件简述31.2 FPGA系统开发流程简介41.2.1 电路设计41.2.2 设计输入41.2.3 功能仿真51.2.4 综合优化51.2.5 综合后仿真61.2.6 实现与布局布线6二、5B6B编码仿真及其设计步骤62.1 5B6B编码原理62.1.1 5B6B编码原理72.1.2 5B6B码表设计72.2 5B6B编码模块设计82.2.1 编码器的工作原理82.2.2 编码电路的电路模块划分92

4、.3 5B6B编码器在FPGA中的实现92.4 整体电路的仿真实现112.4.1 整体电路的顶层图112.4.2 整体电路的仿真11三、结束语11参考文献115B6B码编码的仿真与实现摘要:在数字通信系统中,数字光纤通信由于其本身的优点得到越来越广泛的应用。数字光纤通信系统中,从电端机传输过来的电信号均要结合数字光纤通信传输的特点经过线路码型的转换。通过线路码型的转变平衡数字码流中的“0”和“1”码字,从而避免码流中出现长“0”或者长“1”的现象。在数字光纤通信系统中比较常用的线路码型就是mBnB码型,mBnB线路码型的最大优点就是最大相同码元连码和少、定时信息丰富、并且有简单成熟的误码监测与

5、码组同步的方法。关键词:5B6B编码; VHDL语言; FPGA ; Quartus2 ;仿真;正文:一、软件硬件开发平台简介由于5B6B码编码的设计与仿真是要软件做支持和要硬件做平台。所以在设计之前,先介绍一下常用软件Quartus2的使用,接着在介绍一下FPGA系统开发过程。方便下面的说明。1.1 Quartus2软件简述图1 Quartus2软件界面Quartus II 是Altera公司的综合性PLD开发软件,支持原理图、VHDL、VerilogHDL以及AHDL(Altera Hardware Description Language)等多种设计输入形式,内嵌自有的综合器以及仿真器,

6、可以完成从设计输入到硬件配置的完整PLD设计流程。Quartus II可以在XP、Linux以及Unix上使用,除了可以使用Tcl脚本完成设计流程外,提供了完善的用户图形界面设计方式。具有运行速度快,界面统一,功能集中,易学易用等特点。Quartus II支持Altera的IP核,含LPM/MegaFunction宏功能模块库,使用户可以充分利用成熟的模块,简化了设计的复杂性、加快了设计速度。对第三方EDA工具的良好支持也使用户可以在设计流程的各个阶段使用熟悉的第三放EQuartus II 是Altera公司的综合性PLD开发软件,支持原理图、VHDL、VerilogHDL以及AHDLDA工具

7、。此外,Quartus II 通过和DSP Builder工具与Matlab/Simulink相结合,可以方便地实现各种DSP应用系统;支持Altera的片上可编程系统(SOPC)开发,集系统级设计、嵌入式软件开发、可编程逻辑设计于一体,是一种综合性的开发平台。Maxplus II 作为Altera的上一代PLD设计软件,由于其出色的易用性而得到了广泛的应用。目前Altera已经停止了对Maxplus II 的更新支持,Quartus II 与之相比不仅仅是支持器件类型的丰富和图形界面的改变。Altera在Quartus II 中包含了许多诸如SignalTap II、Chip Editor和

8、RTL Viewer的设计辅助工具,集成了SOPC和HardCopy设计流程,并且继承了Maxplus II 友好的图形界面及简便的使用方法。Altera Quartus II 作为一种可编程逻辑的设计环境, 由于其强大的设计能力和直观易用的接口,越来越受到数字系统设计者的欢迎。Altera的Quartus II可编程逻辑软件属于第四代PLD开发平台。该平台支持一个工作组环境下的设计要求,其中包括支持基于Internet的协作设计。Quartus平台与Cadence、ExemplarLogic、 MentorGraphics、Synopsys和Synplicity等EDA供应商的开发工具相兼容

9、。改进了软件的LogicLock模块设计功能,增添 了FastFit编译选项,推进了网络编辑性能,而且提升了调试。支持 MAX7000/MAX3000等乘积项器件Quartus软件包是MAX+plus的升级版本,Altera公司的第四代开发软件。其提供了一个完整高效的设计环境,非常适应具体的设计需要。Quartus提供了方便的设计输入方式、快速的编译和直接易懂的器件编程。能够支持逻辑门数在百万门以上的逻辑器件的开发,并且为第三方工具提供了无缝接口。Quartus支持的器件有:Stratix 、Stratix GX、Stratix、Mercury、MAX3000A、MAX 7000B、MAX 7

10、000S、MAX 7000AE、MAX 、FLEX6000、FLEX10K、FLEX10KA、FLEX10KE、Cyclone、Cyclone 、APEX 、APEX20KC、APEX20KE和ACEX1K系列。Quartus软件包的编程器是系统的核心,提供功能强大的设计处理,设计者可以添加特定的约束条件来提高芯片的利用率。在设计流程的每一步,Quartus软件能够引导设计者将注意力放在设计上,而不是软件的使用上。同时,自动的错误定位、完备的错误和警告信息,使设计修改变得简单容易。另外,Quartus可与MATLAB的Simulink和DSP Builder结合,是开发DSP硬件系统的关键ED

11、A工具,Quartus与SOPC Builder结合,能够开发SOPC(System On a Programmable Chip)系统,是一款很有发展前途的EDA软件。Altera公司的Quartus4.1软件可以在代理商处获得光盘,也可以到Altera公司的网站上下载,其安装与授权文件的获得可以参考MAX+plus的操作过程。1.2 FPGA系统开发流程简介由于5B6B码译码的设计与FPGA系统的设计有相似之处,所以在这里对FPGA系统的开发流程做简要介绍。1.2.1 电路设计在系统设计之前,首先要进行的是方案论证、系统设计和FPGA芯片选择等准备工作。系统工程师根据任务要求,如系统的指标

12、和复杂度,对工作速度和芯片本身的各种资源、成本等方面进行权衡,选择合理的设计方案和合适的器件类型。一般都采用自顶向下的设计方法,把系统分成若干个基本单元,然后再把每个基本单元划分为下一层次的基本单元,一直这样做下去,直到可以直接使用EDA元件库为止。 1.2.2 设计输入 设计输入是将所设计的系统或电路以开发软件要求的某种形式表示出来,并输入给EDA工具的过程。常用的方法有硬件描述语言(HDL)和原理图输入方法等。原理图输入方式是一种最直接的描述方式,在可编程芯片发展的早期应用比较广泛,它将所需的器件从元件库中调出来,画出原理图。这种方法虽然直观并易于仿真,但效率很低,且不易维护,不利于模块构

13、造和重用。更主要的缺点是可移植性差,当芯片升级后,所有的原理图都需要作一定的改动。目前,在实际开发中应用最广的就是HDL语言输入法,利用文本描述设计,可以分为普通HDL和行为HDL。普通HDL有ABEL、CUR等,支持逻辑方程、真值表和状态机等表达方式,主要用于简单的小型设计。而在中大型工程中,主要使用行为HDL,其主流语言是Verilog HDL和VHDL。这两种语言都是美国电气与电子工程师协会(IEEE)的标准,其共同的突出特点有:语言与芯片工艺无关,利于自顶向下设计,便于模块的划分与移植,可移植性好,具有很强的逻辑描述和仿真功能,而且输入效率很高。 1.2.3 功能仿真 功能仿真,也称为

14、前仿真,是在编译之前对用户所设计的电路进行逻辑功能验证,此时的仿真没有延迟信息,仅对初步的功能进行检测。仿真前,要先利用波形编辑器和HDL等建立波形文件和测试向量(即将所关心的输入信号组合成序列),仿真结果将会生成报告文件和输出信号波形,从中便可以观察各个节点信号的变化。如果发现错误,则返回设计修改逻辑设计。常用的工具有Model Tech公司的ModelSim、Sysnopsys公司的VCS和Cadence公司的NC-Verilog以及NC-VHDL等软件。 1.2.4 综合优化 所谓综合就是将较高级抽象层次的描述转化成较低层次的描述。综合优化根据目标与要求优化所生成的逻辑连接,使层次设计平

15、面化,供FPGA布局布线软件进行实现。就目前的层次来看,综合优化(Synthesis)是指将设计输入编译成由与门、或门、非门、RAM、触发器等基本逻辑单元组成的逻辑连接网表,而并非真实的门级电路。真实具体的门级电路需要利用FPGA制造商的布局布线功能,根据综合后生成的标准门级结构网表来产生。为了能转换成标准的门级结构网表,HDL程序的编写必须符合特定综合器所要求的风格。由于门级结构、RTL级的HDL程序的综合是很成熟的技术,所有的综合器都可以支持到这一级别的综合。常用的综合工具有Synplicity公司的Synplify/Synplify Pro软件以及各个FPGA厂家自己推出的综合开发工具。 1.2.5 综合后仿真 综合后仿真检查综合结果是否和原设计一致。在仿真时,把综合生成的标准延时文件反标注到综合仿真模型中去,可估计门延时带来的影响。但这一步骤不能估计线延

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 办公文档 > 解决方案

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号