课程设计交通灯控制器的EDA设计

上传人:M****1 文档编号:492612670 上传时间:2022-09-19 格式:DOC 页数:31 大小:548KB
返回 下载 相关 举报
课程设计交通灯控制器的EDA设计_第1页
第1页 / 共31页
课程设计交通灯控制器的EDA设计_第2页
第2页 / 共31页
课程设计交通灯控制器的EDA设计_第3页
第3页 / 共31页
课程设计交通灯控制器的EDA设计_第4页
第4页 / 共31页
课程设计交通灯控制器的EDA设计_第5页
第5页 / 共31页
点击查看更多>>
资源描述

《课程设计交通灯控制器的EDA设计》由会员分享,可在线阅读,更多相关《课程设计交通灯控制器的EDA设计(31页珍藏版)》请在金锄头文库上搜索。

1、摘 要EDA技术用于电子产品设计中比较先进的技术,可以代替设计者完成电子系统设计中的大部分工作,而且可以直接从程序中修改错误及系统功能而不需要硬件电路的支持,既缩短了研发周期,又大大节约了成本,受到了电子工程师的青睐。及计算机应用等领域的重要性突出。随着技术市场与人才市场对EDA的需求不断提高,产品的市场需求和技术市场的要求也必然会反映到教学领域和科研领域中来。因此学好EDA技术对我们有很大的益处。EDA功能强大,一台计算机、一套EDA软件和一片或几片大规模可编程芯(CPLD/FPGA或ispPAC),就能完成电子系统的设计。EDA技术涉及面广,内容丰富,但在教学和技术推广层面上,应用较为广泛

2、的是基于可编程器件的EDA技术,它主要包括如下四大要素:1大规模可编程器件,它是利用EDA技术进行电子系统设计的载体;2硬件描述语言,它是利用EDA技术进行电子系统设计的主要手段;3软件开发工具,它是利用EDA技术进行电子系统的智能化的自动化设计工具;4实验开发系统,它是利用EDA技术进行电子系统设计的下载工具及硬件验证工具。实现路口交通灯系统的控制方法很多,可以用标准逻辑器件、可编程序控制器PLC、单片机等方案来实现。但是些控制方法的功能修改及调试都需要硬件电路的支持,在一定程度上增加了功能修改及系统调试的困难。因此,在设计中采用EDA技术,应用目前广泛应用的VHDL硬件电路描述语言,实现交

3、通灯系统控制器的设计,利用MAXPLUS集成开发环境进行综合、仿真,并下载到CPLD可编程逻辑器件中,完成系统的控制作用。关键词EDA,系统,控制器,交通灯AbstractThe EDA technique used for an electronics product design medium more forerunner of technique, can replace design completion electronics system design medium of big part work, and can direct from in the procedure mo

4、dification mistake and system function but not demand hardware electric circuit of support, since shortenned development period, again consumedly economy cost, was subjected to the electronics engineers favor. The realization street corner transportation light system of control the method be a lot o

5、f, can use standard logic spare part, programmable preface controller PLC, list slice machine etc. project come to realization. But some control method of function modification and adjust to try all demand hardware electric circuit of support, to some extent increment function modification and the s

6、ystem adjust to try of difficulty. Therefore, in the design adoption EDA technique, application extensive currently application of VHDL hardware electric circuit description language, realization the transportation light system controller of design, make use of MAXPLUS integration the development en

7、vironment carry on comprehensive, imitate true, and download arrive the CPLD programmable logic spare part in, completion system of control function.Keywords EDA, System, Controller, Transportation light目 录摘 要IAbstractII目 录III引 言12硬件电路介绍22.1 EDA技术介绍22.2交通灯控制器的基本要求22.3交通灯控制器的原理描述32.4交通灯控制器的基本方案43各单元电

8、路设计53.1 JTDKZ53.2 XSKZ73.3 CNT45S83.4 CNT25S113.5 CNT05S143.6交通灯控制器的顶层原理16结 论17致 谢18参考文献19附 录20引 言EDA技术是用于电子产品设计中比较先进的技术,可以代替设计者完成电子系统设计中的大部分工作,而且可以直接从程序中修改错误及系统功能而不需要硬件电路的支持,既缩短了研发周期,又大大节约了成本,受到了电子工程师的青睐。VHDL英文全称为:Very High Speed Integrated Circuit Hardware Description Language,即超高速集成电路硬件描述语言。当今,在电

9、子工程领域,VHDL已经成为事实上的通用硬件描述语言VHDL起源于1983年,1986年美国电气和电子工程师协会(IEEE)开始工作,讨论VHDL标准。1987年12月IEEE接受VHDL为标准HDL,这就是IEEE Std 1076-1987(LTM87)。1993年IEEE对VHDL重新修订,增加了一些功能,公布了新标准版本IEEE Std 1076-1993(LRM93)。严格的说,VHDL93和VHDL87并不完全兼容(VHDL93从更高的抽象层次和系统描述能力上扩展了VHDL的内容。例如,增加了一些保留字并删去了某些属性),但是,对VHDL87的源码只做少许简单的修改就可以成为合法的

10、VHDL93代码(BFMR93)。VHDL主要用于描述和设计复杂数字系统的结构、行为、功能和接口。在工程设计方面有很多优点。首先,与其他的硬件描述语言相比,VHDL描述能力更强,从而决定了它成为系统设计领域最佳的硬件描述语言。其次,VHDL技术完备,具有丰富的仿真语句和库函数。而且还支持同步电路、异步电路和其他电路的设计。再次,VHDL设计方法灵活,对设计的描述具有相对独立性。设计者可以不懂硬件结构,可以不管最终设计实现的目标器件,而进行独立的设计。最后,VHDL支持广泛,目前大多数EDA工具几乎都在不同程度上支持VHDL。实现路口交通灯系统的控制方法很多,可以用标准逻辑器件、可编程序控制器P

11、LC、单片机等方案来实现。但是这些控制方法的功能修改及调试都需要硬件电路的支持,在一定程度上增加了功能修改及系统调试的困难。因此,在设计中采用EDA技术,应用目前广泛应用的VHDL硬件电路描述语言,实现交通灯系统控制器的设计,利用MAXPLUS集成开发环境进行综合、仿真,并下载到CPLD可编程逻辑器件中,完成系统的控制作用。2硬件电路介绍2.1 EDA技术介绍电子设计自动化技术是一种以计算机为基本工作平台,利用计算机图形学、拓扑逻辑学、计算数学,以至人工智能学等多种计算机应用学科的成果开发出来的一整套软件工具,是一种帮助电子设计工程师从事电子元件、产品和几桶设计的综合技术。EDA技术就是以微电

12、子技术微物理成面,现代电子设计技术为灵魂,计算机软件为技术手段,最终形成集成电子系统或集成电路为目的的一门新兴技术。由此可见,EDA技术的使用对象由两大类人员组成。一类是专用集成电路ASIC的芯片设计研发人员;另一类是广大的电子线路设计人员,他们不具备专门的集成电路(IC)深层次的知识。EDA技术包含以下特点:1,EDA技术所用器件体积小巧、使用灵活、成本低,易于真正产品化。组装各种智能式控制设备和仪器,能做到机电仪一体化。2,面向控制。能有针对性地解决各种从简单到复杂的各类控制任务,因而能获得最佳的性能价格比。3,抗干扰能力强,适应温度范围宽,在各种恶劣的环境下都能可靠的工作。这是其它微机集

13、中无法比拟的。4,可以方便的实现多机、分布式的集散控制,使整个控制系统的效率大大地提高。5,EDA技术应用产品的研制周期短,所开发出来的样机就是以后批量生产的产品,可以避免不必要的二次开发过程。EDA的应用非常广泛,比如说在工业方面,电机控制,工业机器人,过程控制,智能传感器,机电仪一体化等都应用到了EDA技术。而仪器仪表方面、家用电器、电讯方面、导航与控制方面、汽车方面、数据处理方面等多个方面也应用到了改技术。2.2交通灯控制器的基本要求在十字路口,每条道路各有一组红、黄、绿灯和倒计时显示器,用以指挥车辆和行人有序地通行。其中,红灯(R)亮,表示该条道路禁止通行;黄灯(Y)亮,表示停车;绿灯

14、(G)亮,表示可以通行。倒计时显示器是用来显示允许通行或禁止通行时间。交通灯控制器就是用来自动控制十字路口的交通灯和计时器,指挥各种车辆和行人安全通行。(1)在十字路口的两个方向上各设一组红、绿、黄灯,显示顺序为其中一方向(东西方向)是绿灯、黄灯、红灯;另一方向(南北方向)是红灯、绿灯、黄灯。(2)设置一组数码管,以倒计时的显示方式允许通行或禁止通行的时间,其中绿灯、黄灯、红灯的持续时间分别是25s、5s、和45s。(3)当各条路上任意一条上出现特殊情况时,如当消防车、救护车或其他需要优先放行的车辆通过时,各方向上均是红灯亮,倒计时停止,显示数字在闪烁。当特殊运行状态结束后,控制器恢复原来状态

15、,继续正常运行。(4)用两组数码管实现双向倒计时显示。2.3交通灯控制器的原理描述交通灯控制器的核心范围是一个计数范围为059共(60秒)的计数器和一个根据计数值做出规定反映的控制器。另外,还需要输入CLK时钟信号。最后,要驱动七段数码管,显然还需要一个译码电路。 54 0红灯亮绿灯亮绿灯亮绿灯亮红灯亮东西方向南北方向黄灯亮灯亮2959 292459黄灯亮灯亮图2.3交通灯控制器原理图2.4交通灯控制器的基本方案交通灯控制器的核心范围是一个计数范围为059共(60秒)的计数器和一个根据计数值做出规定反映的控制器。另外,作者所用的实验仪配备的晶振为20MHZ,因此还需要一个分频电路。最后,要驱动七段数码管,显然还需要一个译码电路。 主干道状态转换图S=0立刻转换下一状态S=1S=1S=0S=0S=1STEP 0 系统下载,主干道开始60s倒计时,不论S有无信号,皆为MGCR状态。判断SSTEP

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 建筑/环境 > 施工组织

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号