基于VHDL课程设计乒乓球游戏

上传人:M****1 文档编号:492295570 上传时间:2023-09-14 格式:DOC 页数:31 大小:2.26MB
返回 下载 相关 举报
基于VHDL课程设计乒乓球游戏_第1页
第1页 / 共31页
基于VHDL课程设计乒乓球游戏_第2页
第2页 / 共31页
基于VHDL课程设计乒乓球游戏_第3页
第3页 / 共31页
基于VHDL课程设计乒乓球游戏_第4页
第4页 / 共31页
基于VHDL课程设计乒乓球游戏_第5页
第5页 / 共31页
点击查看更多>>
资源描述

《基于VHDL课程设计乒乓球游戏》由会员分享,可在线阅读,更多相关《基于VHDL课程设计乒乓球游戏(31页珍藏版)》请在金锄头文库上搜索。

1、 课程设计名称 乒乓球游戏程序 姓名 专业班级 19目录摘 要1第一部分 绪论21.1 课题设计背景21.1.1 FPGA简介21.1.2 硬件描述语言VHDL21.1.3 Quartus简介21.2 课题主要内容3第二部分 系统设计42.1整体设计图42.2设计思路52.3具体功能对应等6第三部分 模块设计93.1控制模块93.1.1 引脚功能93.1.2 核心代码及解释103.1.3 RTL图133.2 分频模块143.2.1 引脚功能143.2.2 核心代码及解释143.2.3 RTL图153.3 按键处理模块153.3.1 引脚功能153.3.2 核心代码及解释153.3.3 RTL图

2、163.4 锁楼层模块173.4.1 引脚功能173.4.2 核心代码及解释173.43 RTL图18第四部分 操作配图19第五部分 结论234.1遇到的问题和改进234.2工作分配比例23第六部分 附录24基于FPGA的乒乓球游戏程序的设计摘 要VHDL是高速集成电路硬件描述语言,目前已成为许多设计自动化工具普遍采用的标准化硬件描述语言VHDL语言功能性强、覆盖面广、灵活性高,具有很好的实用。本文设计一个基于VHDL的乒乓游戏机模拟乒乓球比赛。用VHDL编程模拟乒乓球比赛,电路模块由分频、状态机等部分组成,对各部分编写VHDL算法,进行编译及程序下载。通过验证,乒乓游戏机能模拟乒乓球比赛的基

3、本过程和规则,并能自动裁判和记分,还能根据接球快慢改变球运行的速度。实现乒乓游戏机的功能。关键词:乒乓游戏机、VHDL、状态机第一部分 绪论1.1 课题设计背景1.1.1 FPGA简介FPGA(FieldProgrammable Gate Array),即现场可编程门阵列,它是在PAL、GAL、CPLD等可编程器件的基础上进一步发展的产物。它是作为专用集成电路(ASIC)领域中的一种半定制电路而出现的,既解决了定制电路的不足,又克服了原有可编程器件门电路数有限的缺点。1.1.2 硬件描述语言VHDLVHDL 的英文全名是VHSIC Hardware Description Language(V

4、HSIC硬件描述语言)。VHSIC是Very High Speed Integrated Circuit的缩写,是20世纪80年代在美国国防部的资助下始创的,并最终导致了VHDL语言的出现。1987 年底,VHDL被 IEEE 和美国国防部确认为标准硬件描述语言。VHDL主要用于描述数字系统的结构,行为,功能和接口。除了含有许多具有硬件特征的语句外,VHDL的语言形式和描述风格与句法是十分类似于一般的计算机高级语言。VHDL的程序结构特点是将一项工程设计,或称设计实体(可以是一个元件,一个电路模块或一个系统)分成外部(或称可视部分,及端口)和内部(或称不可视部分),既涉及实体的内部功能和算法完

5、成部分。在对一个设计实体定义了外部界面后,一旦其内部开发完成后,其他的设计就可以直接调用这个实体。这种将设计实体分成内外部分的概念是VHDL系统设计的基本点。1.1.3 Quartus简介Altera Quartus II 作为一种可编程逻辑的设计环境, 由于其强大的设计能力和直观易用的接口,越来越受到数字系统设计者的欢迎。Altera Quartus II (3.0和更高版本)设计软件是业界唯一提供FPGA和固定功能HardCopy器件统一设计流程的设计工具。Quartus II design 是最高级和复杂的,用于system-on-a-programmable-chip (SOPC)的设

6、计环境。 QuartusII design 提供完善的 timing closure 和 LogicLock? 基于块的设计流程。QuartusII design是唯逐一个包括以timing closure 和 基于块的设计流为基本特征的programmable logic device (PLD)的软件。 Quartus II 设计软件改进了性能、提升了功能性、解决了潜伏的设计延迟等,在产业领域率先提供FPGA与mask-programmed devices开发的同一工作流程。1.2 课题主要内容随着可编程逻辑电路和EDA技术的发展,在逻辑电路设计和嵌入式系统设计方面,以CPLD/FPGA为

7、代表的可编程逻辑器件已经逐步代替了传统的标准逻辑器件;本次论文的乒乓球游戏所有的程序可以集成在一个FPGA开发芯片上面,不用在用其他功能的分立逻辑元件,达到集成度高、响应快、功耗低的特点。本次论文主要是基于FPGA的乒乓球游戏的设计,模拟乒乓球比赛的基本过程和规则,自动裁判和记分,比局为7局,每局11分。而本次论文采用模块化设计,主要分为两大模块:时钟分频模块、状态机控制模块。第二部分 系统设计2.1整体设计图图 1 乒乓球游戏程序BDF图2.2设计思路1控制模块状态图图 2 乒乓球游戏程序控制模块状态图2总体思路SW0开关(EN)控制功能使能与比赛清零 。SW0关闭(EN=0),显示学号后六

8、位,打开时允许运行,比分比局清零。利用状态机,设初始状态处于start状态,key3为复位键,按下key3可以回到start状态。通过各种请求信号实现状态的相互转换,进而实现发球和乒乓球左右移动、击球的相互转换,并在球未击中、提前击中、发球失败时显示相应比分、比局情况。通过设计control的反馈控制频率方式,利用不同键击球的快慢控制球运行的速度。并在整个游戏结束时有一个end标记与比分比局切换显示。2.3具体功能对应等1乒乓球控制模块控制乒乓球的运行状态的转换,比分、比局的显示。反馈信号信号的设置和输出,为分频模块提供指令信号,控制球运行的速度。图 3 乒乓球运行控制模块BDF2时钟分频模块

9、 为状态机产生3种不同的时钟信号。图 4 时钟分频模块BDF第三部分 模块设计3.1控制模块3.1.1 引脚功能表 1 控制模块的引脚功能表定义类型外设引脚功能in std_logicENSW0关闭显示学号后6位并对比分清零,打开则开始游戏CLK分频模块送入3种不同频率的速度RSTKEY3按一次重新开始新的一球HIT17.13SW17SW13选手甲接发球开关HIT5.2SW5SW2选手乙接发球开关HIT12.6SW12SW6禁止触球区outstd logicHEX7HEX7选手甲获胜局数HEX6HEX6选手乙获胜局数HEX5HEX5显示无意义HEX4HEX4显示无意义HEX3HEX3选手甲获胜

10、比分HEX2HEX2选手甲获胜比分HEX1HEX1选手乙获胜比分HEX0HEX0选手乙获胜比分CONTROL1.0输出反馈给分频模块LIGHT17.2LEDR17LEDR2乒乓球位置显示3.1.2 核心代码及解释模块主要分状态转换,状态译码,LED灯的译码,和辅助变量temp,辅助判断信号control的计数进程。利用temp判断是否分出胜负即游戏是否结束,结束之后显示闪烁辅助标志End并且清零比分。利用control判断接球快慢,反馈到分频器,分频器根据接球快慢控制球的运行速度,共快中慢三种速度,分别为50Hz,10Hz,5.556Hz。为方便检查结果,SW1直接控制速度开关,共快慢两种速度

11、,分别为50Hz,5.556Hz。1 中间变量的初始化:SIGNAL ST,NST:STATE:=start;SIGNAL reg:STD_LOGIC_VECTOR(17 DOWNTO 2); -灯的内部变量SIGNAL BIFEN1,BIFEN2:integer range 0 to 11;-选手甲乙的比分记录变量SIGNAL BIJUONE,BIJUTWO:integer range 0 to 4; -选手甲乙的比局记录变量SIGNAL TEMP:integer range 0 to 1; -比局数的内部计数变量SIGNAL BIJU1,BIJU2:STD_LOGIC_VECTOR(6 D

12、OWNTO 0); -选手甲乙的比局译码输出变量SIGNALBIFENOUT11,BIFENOUT12,BIFENOUT21,BIFENOUT22: STD_LOGIC_VECTOR(6 DOWNTO 0); -选手甲乙的比分译码输出变量SIGNAL REG_1:STD_LOGIC_VECTOR(6 DOWNTO 0);-数码管的内部变量SIGNAL REG_5:STD_LOGIC_VECTOR(6 DOWNTO 0);SIGNAL REG_3:STD_LOGIC_VECTOR(6 DOWNTO 0);SIGNAL REG_8:STD_LOGIC_VECTOR(6 DOWNTO 0);SIGN

13、AL REG_4:STD_LOGIC_VECTOR(6 DOWNTO 0);SIGNAL REG_2:STD_LOGIC_VECTOR(6 DOWNTO 0);2主控组合进程:通过判断球的位置信号及开关键信号执行相应的状态转换。EN=1时游戏开始,进入状态start,temp开始计数,进入状态wait1检测发球选手并点亮相应的球所代表的LED,之后进入状态send,之后选择进入状态,movatoright或movetoleft,球开始向对方选手移动,当球到达可接球区域时,若对方及时击打并及时关闭开关,则进入状态movetoleft或movatoright球向回移动,如此反复。若发球方或接球方未

14、及时关闭开关,则视为击打失败,返回状态start,对方加一分。每局为11分制,共设七局。每结束一局temp就会相应加1,当temp加到5,即分出胜负之后显示闪烁辅助标志End。以选手甲发球为例:(1)进入状态start,统计比分及比局。(2)进入状态send,判断选手甲(假设甲为左边一方)是否发球,若发球则进入状态wait1,否则停留在状态start。(3)进入状态wait1,判断选手甲发球端球代表的灯REG17是否亮起,若是则进入状态movetoright,反之返回状态start。(4)进入状态movetoright,灯右移,并判断是否有无关按键按下(SW17SW14),若无则继续右移,反之返回状态start并且对方得一分。当球移至REG6REG2,进入选手乙可接球区域: 当球移至REG6时选手乙及时击打相应开关SW6,则进入状态movetoleft,并调整球运行速度为快。若提前击打或未及时关闭开关,则击球失败并且对方得一分,进入状态start。REG5条件下类似。 当球移至REG4时选手乙及时击打开关SW4,则进入状态movetoleft,

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 建筑/环境 > 施工组织

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号