基于FPGA的高精度脉冲宽度测量论文

上传人:壹****1 文档编号:492252851 上传时间:2022-12-21 格式:DOC 页数:19 大小:736KB
返回 下载 相关 举报
基于FPGA的高精度脉冲宽度测量论文_第1页
第1页 / 共19页
基于FPGA的高精度脉冲宽度测量论文_第2页
第2页 / 共19页
基于FPGA的高精度脉冲宽度测量论文_第3页
第3页 / 共19页
基于FPGA的高精度脉冲宽度测量论文_第4页
第4页 / 共19页
基于FPGA的高精度脉冲宽度测量论文_第5页
第5页 / 共19页
点击查看更多>>
资源描述

《基于FPGA的高精度脉冲宽度测量论文》由会员分享,可在线阅读,更多相关《基于FPGA的高精度脉冲宽度测量论文(19页珍藏版)》请在金锄头文库上搜索。

1、中南民族大学毕业论文(设计)学院: 电子信息工程学院 专业: 通信工程 年级: 2011 题目: 基于FPGA的高精度脉冲宽度测量 学生姓名: 学号: 2015年5月26日中南民族大学本科毕业论文(设计)原创性声明本人郑重声明:所呈交的论文是本人在导师的指导下独立进行研究所取得的研究成果。除了文中特别加以标注引用的内容外,本论文不包含任何其他个人或集体已经发表或撰写的成果作品。本人完全意识到本声明的法律后果由本人承担。作者签名: 年 月 日 注:本页放在学位论文封面后,目录前面目录摘要1Abstract11、引言22、 脉冲及脉冲参数测量的理论与定义22.1 脉冲的简单定义22.2 脉冲参数的

2、简单定义22.3 脉冲宽度测量的背景及实际意义33、常用的脉冲宽度测量方法介绍33.1 用示波器直接测量脉冲宽度33.2 基于定时/计数器测量脉冲宽度的一般原理及采用的方法43.3 基于单片机的脉冲宽度测量53.4 基于FPGA的脉冲宽度测量53.5 脉宽测量方案比较及确定64、基于FPGA脉宽测量的相关技术与开发工具64.1 EDA的简单介绍及主要特征64.1.1 EDA的简单介绍64.1.2 EDA的主要特征74.2 FPGA的基本结构84.2.1 可编程逻辑块CLB84.2.2 输入/输出模块IOB84.2.3 可编程互连资源IR94.3 开发工具Quartus II简介95、基于FPG

3、A的脉冲宽度测量的总体设计105.1 基本原理105.2 系统总框图105.3 Quartus II设计流程116、测量方案详细设计及仿真结果116.1 数字移相技术116.2 测量方案详细设计136.3 测量方案仿真结果147、总结15致 谢15参考文献16基于FPGA的高精度脉冲宽度测量摘要 本次设计采用了基于数字移相技术结合FPGA的脉冲宽度测量方法。即通过FPGA内部锁连环模块的延时功能对时钟信号CLK0进行处理,依次移相900,形成另外三路时钟信号CLK90,CLK180和CLK270,分别使用以上四路时钟信号驱动思路计数器对待测脉冲进行测量。然后在Altera公司的Quartus

4、II 7.2环境下选用Stratix III 系列的EP3SE50F484C2芯片进行设计仿真。首先,利用Quartus II 提供的锁相环模块(PLL)生成四路一次相差900相位的250MHz的时钟信号,然后利用Quartus II 提供的计数模块(COUNTER)产生四个计数模块,分别由计数时钟信号CLK0,CLK90,CLK180和CLK270驱动,在脉冲宽度内进行计数。利用Quartus II 提供的加法器模块(ADD)对四个计数值进行相加,加法器最后输出的数值就是测量得到的脉冲宽度。仿真出的三路信号中,测量误差均在1ns以内,故而测量误差为ns量级,达到设计要求。关键词:脉冲宽度、脉

5、冲计数法、EDA技术、FPGA、Quartus II。Measurement of pulse width based on FPGAAbstractThe design uses a digital phase shift technology combined with the pulse width measurement method of FPGA. The FPGA through internal lock serial module delay function of CLK0 clock signal processing, followed by phase shiftin

6、g 900, forming three other clock signal CLK90, clk180, and CLK270, respectively, using the above four clock signal driving ideas counter treat the measured pulse were measured. Then, the III Quartus series EP3SE50F484C2 Stratix is designed and simulated in the II Altera 7.2 environment. First, using

7、 the Quartus II phase-locked loop module (PLL) generation Quad a difference of 900 phase 250MHz clock signal, and then use the Quartus II provides the counting module (counter) produced four counting module, respectively by the count of the clock signal CLK0, CLK90, clk180, and CLK270 drive, in puls

8、e width were counted. The sum of the last output of the adder is measured by the sum of the II Quartus provided by the adder module (ADD) of the four meter. The error of the three signals is within the 1ns, and the error is of the order of NS, and the design requirements are met.Keywords: pulse widt

9、h, pulse counting method, EDA technology, FPGA, Quartus II.1、引言随着数字信号处理、计算机、无线电等技术的飞速发展,脉冲技术也随之有着越来越广的应用。无论在军事、航天等高科技领域,还是无线电通信、电视、医疗等民用领域,脉冲技术都在为其提供着强力的支持。而在脉冲技术及其应用领域中,测量是一个不可或缺的环节。测量的数据直接影响到科研以及应用,有效的测量结果应该为相应的研发提供最有力而直接的证据。在脉冲的幅度、周期、上升时间、下降时间、宽度等众多参数中,脉冲宽度这个参数显得尤为重要。如何高效准确的测量脉冲宽度已经日益被科研人员们提上日程。在

10、本文中,作者将介绍利用FPGA以及EDA技术精确测量脉冲宽度的方法。2、 脉冲及脉冲参数测量的理论与定义2.1 脉冲的简单定义脉冲即一种电压或者电流的短暂冲击。它与一般常见的正弦波的区别在于不是连续波形而是断续波形。即在时间轴上两个信号波形之间存在有零或常量电压或者电流的间隔。2.2 脉冲参数的简单定义当给脉冲下了定义后,并未说明脉冲的形状和它的特征,为了表达脉冲波形和特征,下面针对矩形脉冲给出一些参数。 图2-1 理想的矩形脉冲 图2-2 现实的矩形脉冲图2-1为理想的矩形脉冲。图2-2是现实的矩形脉冲波形,它与理想脉冲之间存在一定的差异,可以认为是梯形脉冲。下面分别对图2-3中的非理想矩形

11、脉冲各参数加以叙述。脉冲幅度:指脉冲底值和顶值这两个量值之间的差值。如图2-4中的A。脉冲上升时间(前沿过度时间):指脉冲幅度从10%上升到90%的这段时间。脉冲下降时间(后沿过度时间):指脉冲幅度从90%下降到10%的这段时间。脉冲宽度:指脉冲宽度为50%的两点对应的时间间隔,如图2-4中所示的。2.3 脉冲宽度测量的背景及实际意义在上一节里介绍的众多脉冲参数中,脉冲宽度显得尤为重要,也是本论文讨论与研究的主要内容,下面将来给脉宽测量的背景及实际意义做个简单介绍。在当今数字信息时代,脉冲宽度测量是计算机、通讯设备、音频视频等科研生产领域不可或缺的一项技术手段。脉宽的计算依赖于周期,周期是频率

12、的倒数,而频率又是电子技术领域永恒的话题,为了得到性能更好的电子系统,科研人员在不断地研究着频率,CPU就是用频率的高低来评价其性能的好坏,可见频率在电子系统的重要性。因而对脉冲宽度的测量方法、效率等要求也日益提高。特别是在当前,半导体工艺水平已经达到亚微米量级,芯片集成高达千兆位,时钟频率也在向着千兆赫兹以上发展,数据传输位数达到每秒几十亿次,对时间和脉宽的测量精度也提出了更高的要求,需要更高准确度的时频基准和更精密的测量技术。在21世纪,脉宽测量仪的发展非常快,主要研究方向是改进、创造新的测量原理、方法和仪器,以便以更高的精度和速度自动进行测量和数据处理,并想多功能、小型化、高性价比的方向

13、发展。不论从我们用的彩色电视机、电冰箱、DVD等都包含时间与脉宽的测量。现在时间与脉宽的测量已是向数字智能方向发展,即可以很精确的读数也精巧易于控制。而且脉冲宽度测量仪已经使用在很多方面,数字卫星、数字通讯等高科技领域也都有应用,今天数字脉冲宽度测量仪的发展已经不是一个小电子产品的发展也是整个民族乃至整个国家的发展,所以脉冲宽度测量仪的发展是一个整体的趋势。我国的脉冲宽度测量技术其实不是落后于发达国家太多的,我国在这个领域的发展是极其迅速的,现在的技术实际已是经过了多年来的考验。我国现阶段电子产品的市场特点是电子数字发展很快。在我国和发达国家的发展情况是趋于一致的,数字脉冲宽度测量技术已经应用

14、于高科技等产品上面,可以不无夸张的说没有不包含脉冲宽度与频率测量的电子产品。我国的CD、VCD、DVD和数字音响广播等新技术已开始大量进入市场。到今天脉冲宽度测量已开始并正向智能、精细的方向发展。3、常用的脉冲宽度测量方法介绍很多工业仪表系统都需要测量脉冲的宽度与周期,如转速传感器、外部系统的门控制与选通脉冲,以及PWM(脉冲宽度调制)输入的频率等。因此可以说脉冲宽度和周期是脉冲的重要指标。无论是模拟电路还是数字电路,往往都需要对脉冲宽度进行测量。关于脉冲信号参数的测量,过去常用的有以下几种方法:3.1 用示波器直接测量脉冲宽度 首先调节示波器延迟控制,使得轨迹的上升沿通过中心水平标尺和某一垂

15、直标尺线的交点。这时如果波形的下降沿跑出屏幕的范围也没有关系。为了获得更高的测量分辨率,可以提高延迟时基扫描速度。记下屏幕上显示的延迟时间。再调节延迟控制,使得波形的下一个下降沿通过同一个标尺点。再记下屏幕上显示的延迟时间。用第二个延迟时间减去第一个延迟时间,就得到了波形上升沿、下降沿两点之间的时间差-。这就是脉冲的宽度。3.2 基于定时/计数器测量脉冲宽度的一般原理及采用的方法上一节介绍了用示波器测量脉冲参数的方法,然而,示波器有时候并不能测出脉冲的宽度及周期,如:当测量低频信号(例如1Hz一下低频信号)时,示波器往往无法读出。此时可采用计数器法来进行测量脉冲宽度,即脉宽形成主门,让标准时标信号通过这一主门,计数时标就可测得脉冲宽度,基本原理如图3-1所示。脉冲信号时标信号输出信号 图3-1 计数器法测量脉宽的基本原理 计数器法测量脉冲周期的原理框图如图3-2所示,

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 建筑/环境 > 施工组织

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号