实验一.七人表决器

上传人:M****1 文档编号:491663329 上传时间:2022-10-07 格式:DOC 页数:2 大小:17KB
返回 下载 相关 举报
实验一.七人表决器_第1页
第1页 / 共2页
实验一.七人表决器_第2页
第2页 / 共2页
亲,该文档总共2页,全部预览完了,如果喜欢就下载吧!
资源描述

《实验一.七人表决器》由会员分享,可在线阅读,更多相关《实验一.七人表决器(2页珍藏版)》请在金锄头文库上搜索。

1、实验一 . 七人表决器河北科技大学实验报告12 级 电信专业师 于国庆 实验名称123班学号 Z120701306 15 年 5 月 20 日 姓 名 张娟 同组人指导教实验一七人表决器成绩 实验类型设计型批阅教师一、实验目的(1)掌握MUXPLUS II 语言输入的设计过程。( 2)初步了解VHDL语言。(3)熟悉FPGA项目设计的基本流程。二、实验原理:用七个开关作为表决器的 7 个输入变量,输入变量为逻辑“ 1”时表示表决者“赞同”; 输入变量为逻辑“ 0”时表示表决者“不赞同”;输出逻辑“ 1”时,表示表决“通过”; 输出逻辑“ 0”时,表示表决“不通过”;当表决器的七个输入变量中有

2、4 个及以上为“ 1”时,则表决器输出为“ 1”,否则为“ 0”。表决器输入采用试验箱 K1K16,输出采用试验箱 L14、 L16 指示;同意绿灯亮,否则红灯亮。三、实验内容及步骤1打开 MUXPLUS II VHDL编辑器,完成七人表决器的设计。包括VHDL程序输入、编译、综合。实验程序如下:library ieee;isport (men :in std_logic_vector(6 downto 0);pass,stop : buffer std_logic);end vote7;architecture behave of vote7 is begin stopvariable te

3、mp:std_logic_vector(2 downto 0); begintemp:=000; for i in 0 to 6 loop if(men(i)=1) then temp:=temp+1; elsetemp:=temp+0; end if; end loop; pass2 、建立仿真波形文件,使用 MAXPLUS II Simulator 功能进行功能仿真。 仿真结果如下:3、目标器件选择与管脚锁定并重新编译、综合、适配。FPGA型号: EP1K100QC208-3引脚绑定:4 、下载并验证结果将编译好的程序进行引脚锁定及硬件配置后便可下载到目标芯片中,我们将程序下载到芯片中后结果输出灯stop 亮 pass 灭,当我们按下men0到 men6任意四个或四个以上按键时 stop 灭 pass 亮,实验结果符合预期效果,结果正确。四、实验结果与总结我们这次 EDA实验课设计了一个双 LED灯输出七人表决器,实验结果符合预期效果即任意按下四个或四个以上按键表示同意,同意绿灯亮反对红灯亮。通过这次实验我们加深了对 EDA这门课程的理解,学会了如何使用 MAX PLUS进行 VHDL语言编程以及将程序下载到芯片上,增加了对学习 VHDL语言的兴趣,增强了实践动手能力,学到了许多课本上没有的知识。

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 办公文档 > 演讲稿/致辞

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号