课程设计(论文)基于FPGA的1553B航空总线协议接口芯片设计

上传人:桔**** 文档编号:491009172 上传时间:2022-12-30 格式:DOC 页数:20 大小:961.20KB
返回 下载 相关 举报
课程设计(论文)基于FPGA的1553B航空总线协议接口芯片设计_第1页
第1页 / 共20页
课程设计(论文)基于FPGA的1553B航空总线协议接口芯片设计_第2页
第2页 / 共20页
课程设计(论文)基于FPGA的1553B航空总线协议接口芯片设计_第3页
第3页 / 共20页
课程设计(论文)基于FPGA的1553B航空总线协议接口芯片设计_第4页
第4页 / 共20页
课程设计(论文)基于FPGA的1553B航空总线协议接口芯片设计_第5页
第5页 / 共20页
点击查看更多>>
资源描述

《课程设计(论文)基于FPGA的1553B航空总线协议接口芯片设计》由会员分享,可在线阅读,更多相关《课程设计(论文)基于FPGA的1553B航空总线协议接口芯片设计(20页珍藏版)》请在金锄头文库上搜索。

1、基于FPGA的1553B航空总线协议接口芯片设计摘要:针对以往1553B航空总线接口电路管理复杂、体积较大、功耗较高、成本较高、难以重新编程修改、无法适应不同环境多种机体等问题,本文提出利用FPGA的可移植性、高度集成性、在系统可编程等性能优势,设计基于FPGA的1553B总线接口芯片。在QuartusII上,采用VHDL语言进行了该接口芯片曼彻斯特编码器设计;曼彻斯特解码器设计;曼彻斯特数据编码控制与监视器设计,分析数据字的命令并进行相应控制及监视,以提高可控制性;曼彻斯特解码控制与监视器的设计,分析数据字工作方式,及时反馈错误,并实现控制与监视,以提高可靠性。实验结果表明,基于FPGA的1

2、553B总线接口芯片的各模块设计符合要求,能对数据进行正确的曼彻斯特编码、解码,能对命令进行正确的分析处理等。应用FPGA进行设计,可获得自主知识产权且性能正确可靠的航空总线接口芯片,并具备在系统可编程等特点。关键词:1553B航空总线 接口芯片 FPGA VHDL 曼彻斯特编码 曼彻斯特解码目 录1 引言- 1 -2 基于1553b总线协议的系统概述- 2 -2.1 1553b总线协议概述- 2 -2.2系统功能分析及顶层规划描述- 3 -3 系统各模块的设计- 4 -3.1 曼彻斯特解码器模块- 4 -3.1.1曼彻斯特解码器设计流程及实现- 4 -3.1.2曼彻斯特解码器状态机- 6 -

3、3.1.3曼彻斯特解码器模块的VHDL实现- 7 -3.2 曼彻斯特编码器模块- 8 -3.2.1曼彻斯特编码器设计流程及实现- 8 -3.2.2曼彻斯特编码器模块的VHDL实现- 10 -3.3 曼彻斯特解码采集控制器设计- 12 -3.4 曼彻斯特编码采集控制器- 13 -4 仿真图形及实验结果- 14 -4.1曼彻斯特解码器的仿真实验结果- 14 -4.1.1 同步字检测的仿真实验结果- 14 -4.1.2 曼彻斯特解码器的仿真- 14 -4.2曼彻斯特编码器的仿真实验结果- 15 -5 外围硬件电路- 15 -6 结论- 17 -参考文献- 17 -致 谢- 18 - 1 -1 引言M

4、IL-STD-1553B总线协议是一种集中控制式、时分指令/响应型多路串行数据总线标准,具有高可靠性和灵活性,已经成为现代航空机载系统设备互联的最有效解决方案。现已广泛应用于飞机、舰船、坦克等武器平台上,并越来越多的应用于民用领域。基于现场可编程门阵列 (FPGA) 核心的MIL-STD-1553B航空总线的设计与实现体现了现代航空电子设计的新思路与方法。此项技术具有多种优势:如废弃组件管理、降低设计风险、提高集成度、减小体积、降低功耗和提高故障平均间隔时间(MTBF)等6,吸引着用户将原来的系统转移到此项技术。在高要求的军用设计中,每项设计模块的设计空间、功耗和重量都必须减少。特别对于军用航

5、空方面,满足这些要求至关重要。由于上述要求直接作用于芯片级别,若使用单一的传统芯片,体积减小后会对多项板卡的要求降低,从而导致外壳封装,元件固定,器件冷却等要求降低。相反的,如若添加组件,必然增加故障发生概率。如若利用基于现场可编程门阵列 (FPGA)来减少芯片数量固然有助于降低误码率,缓解这些问题。过去,典型的1553B系统一般都由多个COTS组件构成MIL-STD-1553B总线的I/O通常由单一来源的带有内部处理功能的 ASIC 提供,这种内部处理可提供消息处理与缓冲以及对MIL-STD-1553比特流进行编解码等。ASIC 中可能含有也可能没有向MIL-STD-1553总线提供物理接口

6、的收发器组件。每个 ASIC 为一个双冗余MIL-STD-1553通道提供此功能。所以支持多个 MIL-STD-1553 通道的系统就需要多个 ASIC 和收发器。与每个 MIL-STD-1553 总线的连接是通过板载变压器实现的。最后,由一个或几个可编程的 FPGA 设备将 MIL-STD-1553 ASIC 连接到主系统,并提供更多的系统功能,如其它 I/O、存储器访问和处理器接口等。6 FPGA通常以逻辑单元或门来度量。有多种形式架构,并提供了丰富的 I/O 引脚可供使用。FPGA 还可提供内部存贮器。现代 FPGA 海量的存贮和功能使其成为 MIL-STD-1553 设计最理想的选择其

7、核心为预先定义的、且经过测试的功能,这些功能可以应用到FPGA设中。 其主要优势还有:1) 线性局域网络结构,减少了电缆、所需空间和系统的重量,便于维护,易于增减节点,提高设计灵活性2) 支持多种节点3) 高水平的电气保障性能4) 良好器件可用性5) 保证实时可确定性,其命令/响应的协议方式确保了其实时可确定性【4】2 基于1553b总线协议的系统概述2.1 1553b总线协议概述1553B总线协议是由美国军方在20世纪70年代制定的,在航空、航天、军事等领域应用广泛协议采用双向曼彻斯特码,数据在总线上以串行脉冲形式传输,传输速率为1Mbs协议规定的信息字类型有3种,分别是命令字、数据字和状态

8、字总线上连接的节点类型有3类:总线控制器(BC)、远程终端(RT)和总线监视器(BM)其框图如图1所示。 图1.1总线与总线控制器(BC)、远程终端(RT)和总线监视器(BM)挂接框图一条总线上挂有1个BC,1个MT和至多31个RT总线传输的消息格式有BC到RT,RT到BC,RT到RT,广播以及方式代码5 1553B有三种字格式分别为:命令字,数据字,状态字.其消息字格式如图1.2所示。图1.2 MIL-STD-1553B总线消息格式如图所示,同步头为三位,命令字与状态字(先正后负,前1.5个脉冲为高电平,后1.5个脉冲为低电平)与数据字(先负后正,前1.5个脉冲为低电平,后1.5个脉冲为高电

9、平)不同由于1553B在通信时使用的是曼码编码,而且同步字有半个脉冲的变化,故可倍频将20位字格式曼码编码后视为为40位62.2系统功能分析及顶层规划描述1553B航空总线接口芯片的设计主要达到以下功能:1)正确完成曼彻斯特码的编码,以完成对子系统的控制与总线的通讯。2)正确完成曼彻斯特码的解码,以完成信息数据的通讯与反馈。3)对编码与解码的结果进行监视与控制,以增加整体系统的可靠性。本文设计可完成对总线控制、远程终端信息通讯及反馈和总线信息传输的监视等三方面的功能,即可完成总线控制器(BC)、远程终端(RT)和总线监视器(BM)等三方面的功能。图2.1为芯片核内设计框图。时钟管理解码器编码器

10、BC/RT译码管理模块RAM信号监视与指示灯预留接口通信接口管理BC/RT编码模块RAM信号监视与指示灯预留接口接口处理1553B总线并串转换图2.1芯片核内设计框图通道A:反馈的状态消息字或是传输用的数据消息字信息,经串并转化后进行曼彻斯特解码,分别解读出消息的同步头、校验位及其数据信息,送入管理模块判断。并点亮相应的指示灯。通道B:作为BC(RT)发出命令(状态)字或是传输用的数据字,先经由管理模块进行判决分析,点亮对应的指示操作灯。同时也起到缓存作用。在此之后,由编码器进行编码输出通信。3 系统各模块的设计3.1 曼彻斯特解码器模块1553B协议要求利用曼彻斯特码传输,曼彻斯特编码要求:

11、消息位1要编码为”10”消息位0要编码为”01”曼码的时钟扫描频率为字扫描频率的两倍同步字若用曼码扫描频率可等效为”111000”或”000111”根据曼码的编码要求 同步字为无效字段既可以实现同步功能3.1.1曼彻斯特解码器设计流程及实现曼彻斯特解码器在接口设计中作为数据接收端,将经过编码的曼彻斯特码接收,并进行解码。然后转换成并行数据输入处理器进行数据处理。设计流程如图3.1所示:否是否是否是开始检测同步字头序列数据序列的读入数据采集与储存并计数数据是否采集完毕解码程序的调用并开始解码全解码数据序列储存判断奇偶效验位解码成功发送信息结束图3.1 曼彻斯特解码器程序流程图此模块功能:1、同步

12、数据输入及时间的采集。2、同步字的检验。3、对曼彻斯特码进行检验与处理,解码后转换成并行数据送入处理器,并进行奇偶效验。其逻辑框图如图3.2所示。同步字头状态检验时钟输入曼码输入采样计数数据采集与解码数据准备完毕奇偶效验数据寄存曼码解码控制模块图3.2 曼彻斯特解码器逻辑框图其时钟频率与传输频率相同,同步字检验由状态机完成。由于同步字是由“000111”或“111000”等,为无效曼彻斯特码故可用状态机判断输入序列的同步字起始位置,同步字后的为输入的有效数据序列段,探测到有效的同步字头后,开始采集和解码并储存,同时进行数据的计数,在数据的尾部进行奇偶效验位的判定,决定此序列是否需要重发。当数据

13、准备完毕后,以并行数据形式,送入处理模块。3.1.2曼彻斯特解码器状态机由于要检索同步字头以判断数据类型,故加入状态机以助于同步字的检测。例如对“111000 ”序列进行检测,其检测状态跳变如图3.3所示。00011101110001S0S2S6S3S5S4S1 图3.3 同步字检测器的状态图(111000)图中S0为初始状态同时也是接受一个或是多个0的状态;S1为接受一个1的状态;S2为接受到两个1的状态;S3为接受到三个1的状态同时也是接受到若干个1的状态;S4为检测到“1110”序列的状态;S5为检测到“11100”的状态;S6为检测到“111000”的状态再接受一个0则跳转至S0。在状

14、态机初始为状态S0接收到1时进入状态S1接收到0则状态不变。状态S1开始对序列检测。当检测状态跳转至S6时,则说明同步字头(111000)检测成功,即开始下一步的解码分析。3.1.3曼彻斯特解码器模块的VHDL实现核心程序段如下:architecture behave of test5 isfunction decode_n(I: std_logic_vector (1 downto 0) return std_logic isvariable S: std_logic;beginif I=01 then S:=1;elsif I=10 thenS:=0;elseS:=Z;end if;return S;end decode_n;process (clk,en) beginif rst=0and en=1 then for i in 0 to 16 loop d_outn(i)=decode_n(d_inn(2*i+7 downto 2*i+6); end loop;

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 大杂烩/其它

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号