含1个数统计电路

上传人:桔**** 文档编号:490718601 上传时间:2023-09-22 格式:DOCX 页数:9 大小:248.70KB
返回 下载 相关 举报
含1个数统计电路_第1页
第1页 / 共9页
含1个数统计电路_第2页
第2页 / 共9页
含1个数统计电路_第3页
第3页 / 共9页
含1个数统计电路_第4页
第4页 / 共9页
含1个数统计电路_第5页
第5页 / 共9页
点击查看更多>>
资源描述

《含1个数统计电路》由会员分享,可在线阅读,更多相关《含1个数统计电路(9页珍藏版)》请在金锄头文库上搜索。

1、数字系统设计实践含1个数统计电路学院:信息科学与工程学院专 业:电子系统设计组 别:A06组成员:范颖锐学号:成员:李前言学号:指导教师李宏完成日期2011年3月9日星期三、设计任务与要求1.1设计任务:某数字系统用于统计串行输入的16位二进制序列X中“ 1”的个数,试确定其系统方案。1.2设计要求:1、采用控制器一一受控器模型;2、串行数据位数:16位,利用按键输入;3、系统时钟、启动信号等由按键手动输入;4、“1”的个数由数码管显示(十六进制):5、给出系统仿真结果。二、方案说明2.1程序总体流程图:Startn=15CPf 控制器进制A计数器地二R计数器Done图 2-1-1输入:sta

2、rt、cp、x输出:done、z说明:由控制器判断输入的x是1还是0,并引导位数计数器和一的个数计数器的计数,并 且接受位数计数器的反馈。改进:输出位数计数器的位数qx7.0,更直观的显示2.2控制子系统的ASM图:so图 2-2-1说明:start=1时,状态机开启,第二个状态时clr全部清零,进入第三个状态,如果输入X=0,把cp脉冲给cpx,如果输入X=1, 把 cp脉冲同时给cp1和cpx,直到cpx记满16为止,再 跳回到状态s0。5 mW I: e StatD e e 11 n=it i oil StateConif start=1then state = s1;else stat

3、estate if done= 1then state = s0;else state cp1=0;cpx=0; clr=0;done cp1=0;cpx=0; clr=1;done cp1=x; cpx=1; clr=0;done=n;end case;end process com;end jishu;2.计数器模块之方案一程序: 使用模块lpm_counter,无程序3.计数器模块之方案二程序:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;use ieee.std_logic_arith.

4、all;entity jinzhix isport(q: in std_logic_vector(7 downto 0);qx:out std_logic_vector(7 downto 0);end jinzhix;architecture j of jinzhix issignal a:std_logic_vector(7 downto 0);beginprocess(q)begina=null;if (q 10) thenqx= q;else qx= (a(7 downto 4)+1)& (q(3 downto 0)-10);end if;end process;end j;四、系统测试

5、:4.1按键说明:Node NameDirectionLocation中InputPIN_45doneOutputPIN_60_ZqinOutputPIM_125_/qi回OutputPIN_122_/ql5OutputPIN_121JqOutputPIN_11S_Zq胞OutputPIM_115qlL2JOutputPIN_114_/qllOutputPIN_113qioOutputPIN_112_Zqj7Output:PIN_80OutputPIN_7-1_/qx国OutputPIN_72q*间OutputPIN_71_Zqx3OutputPIN_70qx JOutputPIN_6Q_/q

6、xiOutputPIN* 7_ZqxroOutputPIN_65startInputPIN_25XInputPIN_26图 4-1-1输入:Start :按键1,用于开启含1数字统计电路,使状态机能够从状态s0跳到si,高电平有效 x :按键2,用于控制输入一个16位的二进制序列,亮为1,暗为0 cp :按键8,用于推动状态机的运行,每按一下,控制器执行一次操作 输出:done :指示灯8,用于显示已输满一个16位的序列,高电平有效q17.0:数码管5、6,以十进制的形式显示所得序列中 1的个数,0-16共17个状态 qx7.0:数码管1、2,以十进制的形式显示所得序列的长度,0-16共17个

7、状态4.2电路功能表:startxcpq17.0qx7.0done状态机0XX00011脉冲开始计数开始计数0S2X0脉冲停止计数继续计数0qx7.0满 16 个时,done 为 1,当 qx7.0跳到 0 时0X脉冲保留个数清零1S01X脉冲1次000S1图 4-2-1图 4-3-1说明:qx从1、28、9、16、1722,因为16进制的16在数码管上显示刚好是10, 既是十进制的10,22=16+6,既是16,与进制模块的编程有关,仿真时若把qx的显示调成 十六进制显示,就能出现10、11、12了,当qx达到22 (即16)时,q1即被清零,而且start的启动功能和s0状态的保留结果功能均能体现出来。

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 学术论文 > 其它学术论文

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号