毕业设计论文基于FPGA的乒乓球游戏机控制器设计

上传人:壹****1 文档编号:490447847 上传时间:2023-08-07 格式:DOC 页数:38 大小:658KB
返回 下载 相关 举报
毕业设计论文基于FPGA的乒乓球游戏机控制器设计_第1页
第1页 / 共38页
毕业设计论文基于FPGA的乒乓球游戏机控制器设计_第2页
第2页 / 共38页
毕业设计论文基于FPGA的乒乓球游戏机控制器设计_第3页
第3页 / 共38页
毕业设计论文基于FPGA的乒乓球游戏机控制器设计_第4页
第4页 / 共38页
毕业设计论文基于FPGA的乒乓球游戏机控制器设计_第5页
第5页 / 共38页
点击查看更多>>
资源描述

《毕业设计论文基于FPGA的乒乓球游戏机控制器设计》由会员分享,可在线阅读,更多相关《毕业设计论文基于FPGA的乒乓球游戏机控制器设计(38页珍藏版)》请在金锄头文库上搜索。

1、基于FPGA的乒乓球游戏机设计摘 要Verilog是甚高速集成电路硬件描述语言。目前,verilog已成为许多设计自动化工具普遍采用的标准化硬件描述语言。verilog语言功能性强,覆盖面广,灵活性高,具有很好的实用性。本文设计一个基于verilog的乒乓游戏机,乒乓游戏机由状态机、记分器、译码显示器与按键去抖等部分所组成。通过对各部分编写verilog程序,然后进行编译、仿真、逻辑综合、逻辑适配,最后进行编程下载,并且通过EDA实验箱的验证,实现乒乓游戏机的基本功能。关键词:verilog;EDA;乒乓游戏机AbstractVERILOG is high speed IC hardware

2、describe language. VERILOG already becomes the language of normalizing hardware describe that a lot of design automation implement adopts commonly at present. The VERILOG language function is strong. The face covering is broad, flexibility high and have the very good pragmatism. One main body of the

3、 book is designed waits for what part group is accomplished owing to that VERILOG table tennis game machine, table tennis game machine go to tremble from state machine, marker, decoding display and button. By compiling and composing VERILOG procedure to every part, then compiling, simulate, logic sy

4、nthesis, logic fitting. Carry out programming time be loaded with finally. Then verification and by GW48 type EDA experiment box, realize table tennis game machines fundamental function.Key words: VERILOG:EDA; Table tennis game machine目 录1 绪论01.1课题的背景和意义01.2国内外研究现状21.3本论文的主要内容32 Quartus II与Modelsim

5、软件简介42.1Quartus II 简介42.2Modelsim简介53 方案选择与系统总体设计73.1整体方案的选择73.1.1设计任务与要求73.1.2设计的原理和方法83.1.3芯片的选择93.2系统总体设计93.2.1乒乓游戏机的组成示意图93.2.2本系统的逻辑分框图104 系统各部分电路的详细设计114.1七段数码管显示译码电路114.2按键去抖电路124.3状态机设计124.3.1状态机的6种状态及状态转移124.3.2状态机/球台控制电路144.4记分器设计165 系统的整体综合与仿真175.1顶层文件设计175.2系统程序框图:185.3整个系统的综合与仿真195.3.1

6、电路符号195.3.2 系统仿真196 系统的运行与仿真过程216.1系统程序的编译216.2 系统的仿真23结论25谢 辞26参考文献27附录281 绪论1.1课题的背景和意义随着人们的物质生活越来越好了,人们开始对娱乐生活有了新的要求,特别是进入新世纪以来各种电子产品的不断出现,更是促进了我国电子行业的发展,为此,能够推出一款新的游戏产品是非常有前景的,本设计正是考虑了当前的社会现状,想设计出一款“乒乓球”游戏机,以往可能有过这方面的设计出现过,但是那些大多数都是采用的单片机等来实现的,本设计将采用最新的FPGA技术对“乒乓球”游戏机进行实现。日常娱乐生活中,智能化的娱乐产品非常流行。FP

7、GA具有功能强、可靠性高、使用方便、体积小及重量轻等优点。国外已广泛应用于自动化控制的各个领域。近年来,国内的FPGA技术与产品开发应用方面的发展也很快,各种电子产品已越来越多地采用FPGA控制系统且性价比高。本文从实用的角度以Altera公司的EP2C35F484I8芯片为核心设计了一种智能“乒乓球”游戏机。实践证明,该游戏机运行状况稳定、可靠,满足了智能化要求。1.2国内外研究现状随着社会的进步和工业技术的发展,在电子线路设计领域中,设计自动化工具已经逐步为设计者所接受,成为主要的设计手段。目前,VERILOG已成为许多设计自动化工具普遍采用的标准化硬件描述语言,掌握VERILOG语言,用

8、VERILOG语言设计电子线路,是电子线路设计者必须掌握的基本技能。VERILOG支持数字电路的开发环境,VERILOG也支持各种设计方法:自顶向下、自底向上或混合的方法1。VERILOG语言功能性强,覆盖面大,灵活性高,具有很好的实用性2。本文设计一个基于VERILOG的乒乓游戏机,乒乓游戏机是由5个发光二极管代表乒乓球台,中间的发光二极管兼作球网,用点亮的发光二极管按一定方向移动来表示球的运动。在游戏机的两侧各设置两个开关,甲乙二人按乒乓球比赛规则来操作开关。本设计由译码显示器、记分器、状态机/球台控制器与按键去抖等部分组成。本设计是用实验箱进行模拟乒乓机,用发光二极管代表乒乓球台,最中间

9、的发光二极管作球网,用点亮的发光二极管按一定方向移动来表示球的运动,用按钮设置发球和接球开关。1.3本论文的主要内容本论文的主要内容是设计一个基于FPGA的“乒乓球”游戏机,在广泛收集相关资料的基础上,对“乒乓球”游戏机进行了深入的研究,利用现场可编程门阵列FPGA完成本次设计。整个系统以ALtera公司的EP2C35芯片为核心,采用verilog HDL语言进行开发,配置了相应的输入、信号处理及显示电路,并进行仿真及验证。本论文各章的内容如下:第一章介绍了“乒乓球”游戏机设计的背景及意义,并简单的的阐述了目前国内外在这方面的发展现状。第二章介绍了Quartus II 软件以及Modelsim

10、软件的使用和特点。第三章介绍了对于系统的整体设计以及相关的设计任务和设计要求,并简单的分析了整个系统的设计流程和方法。第四章主要是介绍在整个“乒乓球”游戏机系统中各个模块的工作原理及过程。第五章主要是对系统进行原理图的设计和对整个系统的仿真。第六章主要是对整个的设计过程和仿真的的过程做一个简单的描述。2 Quartus II与Modelsim 软件简介2.1Quartus II 简介Quartus II 是Altera公司的综合性PLD开发软件,支持原理图、VHDL、VerilogHDL以及AHDL(Altera Hardware Description Language)等多种设计输入形式,

11、内嵌自有的综合器以及仿真器,可以完成从设计输入到硬件配置的完整PLD设计流程。 Quartus II可以在XP、Linux以及Unix上使用,除了可以使用Tcl脚本完成设计流程外,提供了完善的用户图形界面设计方式。具有运行速度快,界面统一,功能集中,易学易用等特点。 Quartus II支持Altera的IP核,包含了LPM/MegaFunction宏功能模块库,使用户可以充分利用成熟的模块,简化了设计的复杂性、加快了设计速度。对第三方EDA工具的良好支持也使用户可以在设计流程的各个阶段使用熟悉的第三方EDA工具。 此外,Quartus II 通过和DSP Builder工具与Matlab/S

12、imulink相结合,可以方便地实现各种DSP应用系统;支持Altera的片上可编程系统(SOPC)开发,集系统级设计、嵌入式软件开发、可编程逻辑设计于一体,是一种综合性的开发平台。 Maxplus II 作为Altera的上一代PLD设计软件,由于其出色的易用性而得到了广泛的应用。目前Altera已经停止了对Maxplus II 的更新支持,Quartus II 与之相比不仅仅是支持器件类型的丰富和图形界面的改变。Altera在Quartus II 中包含了许多诸如SignalTap II、Chip Editor和RTL Viewer的设计辅助工具,集成了SOPC和HardCopy设计流程,

13、并且继承了Maxplus II 友好的图形界面及简便的使用方法。 Altera Quartus II 作为一种可编程逻辑的设计环境, 由于其强大的设计能力和直观易用的接口,越来越受到数字系统设计者的欢迎。2.2Modelsim简介Mentor公司的ModelSim是业界最优秀的HDL语言仿真软件,它能提供友好的仿真环境,是业界唯一的单内核支持VHDL和Verilog混合仿真的仿真器。它采用直接优化的编译技术、Tcl/Tk技术、和单一内核仿真技术,编译仿真速度快,编译的代码与平台无关,便于保护IP核,个性化的图形界面和用户接口,为用户加快调错提供强有力的手段,是FPGA/ASIC设计的首选仿真软

14、件。 主要特点: RTL和门级优化,本地编译结构,编译仿真速度快,跨平台跨版本仿真; 单内核VHDL和Verilog混合仿真; 源代码模版和助手,项目管理; 集成了性能分析、波形比较、代码覆盖、数据流ChaseX、Signal Spy、虚拟对象Virtual Object、Memory窗口、Assertion窗口、源码窗口显示信号值、信号条件断点等众多调试功能; C和Tcl/Tk接口,C调试; 对SystemC的直接支持,和HDL任意混合 支持SystemVerilog的设计功能; 对系统级描述语言的最全面支持,SystemVerilog, SystemC, PSL; ASIC Sign of

15、f。 ModelSim分几种不同的版本:SE、PE、LE和OEM,其中SE是最高级的版本,而集成在 Actel、Atmel、Altera、Xilinx以及Lattice等FPGA厂商设计工具中的均是其OEM版本。SE版和OEM版在功能和性能方面有较大差别,比如对于大家都关心的仿真速度问题,以Xilinx公司提供的OEM版本ModelSim XE为例,对于代码少于40000行的设计,ModelSim SE 比ModelSim XE要快10倍;对于代码超过40000行的设计,ModelSim SE要比ModelSim XE快近40倍。ModelSim SE支持PC、UNIX和LINUX混合平台;提供全面完善以及高性能的验证功能;全面支持业界广泛的标准;Mentor Graphics公司提供业界最好的技术支持与服务。3 方案选择与系统总体设计3.1整体方案的选择本课题将采用Altera公司的EP2C35F484I8芯片进行基于FPGA的“乒乓球”游戏机设计。3.1.1设计任务与要求设计一个乒乓球游戏机,模拟乒乓球比赛基本过程和规则,并能自动裁判和计分。具体要求如下:使用乒乓球游戏机的甲,乙双方各在不同的位置发球或击球。乒乓球的位置和移动方向可由LED显示灯和一次点亮的方向决定,球的

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 资格认证/考试 > 自考

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号