数电课程设计报告运算器

上传人:博****1 文档编号:490120003 上传时间:2023-12-09 格式:DOC 页数:30 大小:300.51KB
返回 下载 相关 举报
数电课程设计报告运算器_第1页
第1页 / 共30页
数电课程设计报告运算器_第2页
第2页 / 共30页
数电课程设计报告运算器_第3页
第3页 / 共30页
数电课程设计报告运算器_第4页
第4页 / 共30页
数电课程设计报告运算器_第5页
第5页 / 共30页
点击查看更多>>
资源描述

《数电课程设计报告运算器》由会员分享,可在线阅读,更多相关《数电课程设计报告运算器(30页珍藏版)》请在金锄头文库上搜索。

1、洛阳理工学院课程设计运算器摘 要本设计是一个运算器,包括顶层电路图、运算器模块、按键模块、控制信号模块、修正模块、显示模块几大部分。该运算器用Quartus II仿真软件设计并测试功能正常,下载到试验箱验证成功。关键词:顶层电路,运算器模块,按键模块,控制信号模块,修正模块,显示模块。目录前言3第1章 系统原理71.1系统功能71.2顶层电路71.3系统设计原理8第2章 系统组成92.1按键模块92.2控制信号模块122.3修正模块152.4显示模块192.5运算器模块23第三章 下载验证253.1引脚锁定设置253.2 配置文件下载263.3硬件测试26结论27谢辞28参考文献29前言世界上

2、第一片FPGA由美国Xilinx公司于1985年率先推出。进入21世纪之后,以FPGA为核心的单片系统(SOC)和可编程系统(SOPC)有了显著的发展,单片FPGA的集成规模已达到几百万门,其工作速度已超过300MHz。FPGA在结构上已经实现了复杂系统所需要的主要功能,并将多种功能集成在一片FPGA器件中,如嵌入式存储器、嵌入式乘法器、嵌入式处理器、高速I/O缓冲器、外置存储器接口和实现数字信号处理的DSP等功能。随着FPGA性能的不断完善,FPGA器件的种类日益丰富,受到世界范围内电子设计人员的普遍欢迎,并占据了较大的市场,其生产厂家也由原来的Xilinx公司一家增加到Altera、Act

3、el、lattice等十几家公司。Altera公司的主要产品有:属于FPGA的FLEX、ACEX、APEX、Mercury、Excalibur、Stratix和Cyclone等系列;属于CPLD的MAX和Classic系列。开发工具有,被普遍认为是最优秀PLD 开发平台之一的MAX+Plus II和支持APEX、Mercury、Excalibur、Stratix和Cyclone系列器件的Quartus II开发软件。Quartus II 是Altera公司的综合性PLD/FPGA开发软件,支持原理图、VHDL、VerilogHDL以及AHDL(Altera Hardware Descripti

4、on Language)等多种设计输入形式,内嵌自有的综合器以及仿真器,可以完成从设计输入到硬件配置的完整PLD设计流程。我的运算器和电子表主要是用VHDL语言编写,以Quartus II为依托,并下载到FPGA验证板验证功能成功,能够初步完成简单的四种运算和电子表的调节。随着大规模集成电路技术和计算机技术的高速发展,在涉及通信、国防、工业自动化、计算机设计与应用、仪器仪表等领域的电子系统设计工作中,FPGA技术的含量正以惊人的速度提升。电子类的新技术项目的开发也更多地依赖于FPGA技术的应用,特别是随着HDL等硬件描述语言综合功能和性能的提高,计算机中许多重要的元件(包括CPU)都用硬件描述

5、语言来设计和表达,许多微机CPU、硬核嵌入式系统(如ARM、MIPS)、软核嵌入式系统(如NiosII)、大型CPU,乃至整个计算机系统都用FPGA来实现,即所谓的单片系统SOC或SOPC(System On a Chip、System On a Programmerable Chip)。计算机和CPU的设计技术及其实现途径进入了一个全新的时代!不但如此,传统的CPU结构模式,如冯诺依曼结构和哈佛结构正在接受巨大的挑战。例如美国Wincom Systems 公司推出一款令人惊叹的服务器,其核心部分是由FPGA完成的超强功能CPU。该系统工作能力超过50台DELL或IBM计算机,或SUN Mic

6、rosystems 公司的服务器。该服务器的处理速度要比传统服务器快50到300倍。我们知道,传统的PC机及服务器通常采用诸如Intel公司的奔腾处理器或SUN公司的SPARC芯片作为中央处理单元,而Wincom Systems的这款产品却没有采用微处理器,而是由FPGA芯片驱动。FPGA芯片的运行速度虽比奔腾处理器慢,但可并行处理多项任务,而微处理器一次仅能处理一项任务。因此,Wincom Systems的服务器只需配置几个价格仅为2000多美元的FPGA芯片,便可击败SUN公司的服务器或采用Intel处理器的计算机。50多年前,匈牙利数学家冯诺依曼提出了计算机的设计构想:通过中央处理器从储

7、存器中存取数据,并逐一处理各项任务。然而现在,却采用FPGA取代传统微处理器获得了更高的性能,致使美国Xilinx公司的首席执行官Willem Roelandts 认为:“由冯诺依曼提出的电脑架构已经走到尽头”,“可编程芯片将掀起下一轮应用高潮”。FPGA芯片操作灵活,可以重复擦写无限次,而微处理器均采用固定电路,只能进行一次性设计。设计人员可通过改变FPGA中晶体管的开关状态对电路进行重写,即重配置,从而尽管FPGA芯片的时钟频率要低于奔腾处理器,但是由于FPGA芯片可并行处理各种不同的运算,所以可以完成许多复杂的任务。正如Willem Roelandts所说的,“我们认为下一代超级电脑将基

8、于可编程逻辑器件”,他声称,这种机器的功能比目前最大的超级电脑还要强大许多倍。EDA专家William Carter认为,只要EDA开发工具的功能允许,将有无数的证据证明FPGA 具有这种神奇的能力,进而实现基于FPGA的超级电脑的开发。此外,美国加州大学伯克利分校和杨百翰大学的研究人员也正在设计基于FPGA的电脑,这些电脑可在运行中实现动态重配置。这对定位危险目标等军事应用和面容识别之类的计算密集型安全应用十分有用。由此看来,在计算机应用领域和计算机系统设计领域中,EDA技术和FPGA的应用方兴未艾!硬件描述语言HDL(Hardware Description Language)是EDA技术

9、的另一重要组成部分,常见的HDL有:VHDL、Verilog HDL、System Verilog和SystemC。其中VHDL、Verilog在现在EDA设计中使用最多,也得到几乎所有的主流EDA工具的支持。而后两种HDL 语言尚处于完善过程中。VHDL语言具有很强的电路描述和建模能力,能从多个层次对数字系统进行建模和描述,从而大大简化了硬件设计任务,提高了设计效率和可靠性。VHDL具有与具体硬件电路无关和与设计平台无关的特性,并且具有良好的电路行为描述和系统描述能力,在语言易读性和层次化结构设计方面表现了强大的生命力和应用潜力。因此,VHDL支持各种模式的设计方法:自顶向下与自底向上或混合

10、方法,在面对当今许多电子产品生命周期缩短,需要多次重新设计以融入最新技术、改变工艺等方面, VHDL都表现了良好的适应性。6洛阳理工学院课程设计第1章 系统原理1.1 系统功能该系统是基于FPGA设计的简单运算器,可以实现-7到+7范围内的加、减、与、异或运算。1.2 顶层电路1.3 系统设计原理该运算器电路由按键、控制、修正、显示、运算五大模块组成,实现加、减、与、异或运算功能。首先按键模块用以输入数据,通过控制模块传送到运算器模块进行运算,修正模块对结果进行修正,最后显示模块实现把运算过程显示到LCD显示器上。第2章 系统组成2.1 按键模块2.1.1按键模块VHDL实现LIBRARY I

11、EEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_ARITH.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY KEY IS PORT( EN : OUT STD_LOGIC; YSF : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); RSTN,CLK : IN STD_LOGIC; KEYA,KEYB : IN STD_LOGIC; KADD,KSUB,KAND,KXOR,KEQU : IN STD_LOGIC; A,B,C,D :OUT STD_LOGIC_VECTOR(3 DOW

12、NTO 0); XSYSF : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); XA,XB :OUT STD_LOGIC_VECTOR(7 DOWNTO 0);END KEY;ARCHITECTURE ARCH OF KEY IS SIGNAL TKADD,TKSUB,TKAND,TKXOR,TKEQU :STD_LOGIC;SIGNAL TA,TB :STD_LOGIC_VECTOR(3 DOWNTO 0);BEGINPROCESS(KEYA,RSTN)BEGINIF RSTN=0THEN TA=0000; ELSIF(KEYAEVENT AND KEYA=1)THEN

13、TA=TA+1; END IF; END PROCESS;PROCESS(KEYB,RSTN)BEGINIF RSTN=0THEN TB=0000; ELSIF(KEYBEVENT AND KEYB=1)THEN TB=TB+1; END IF; END PROCESS;PROCESS(RSTN,KADD)BEGINIF RSTN=0THEN TKADD=0; ELSIF (KADDEVENT AND KADD=1)THEN TKADD=1;END IF;END PROCESS;PROCESS(RSTN,KSUB)BEGINIF RSTN=0THEN TKSUB=0; ELSIF (KSUBE

14、VENT AND KSUB=1)THEN TKSUB=1;END IF;END PROCESS;PROCESS(RSTN,KAND)BEGINIF RSTN=0THEN TKAND=0; ELSIF (KANDEVENT AND KAND=1)THEN TKAND=1;END IF;END PROCESS;PROCESS(RSTN,KXOR)BEGINIF RSTN=0THEN TKXOR=0; ELSIF (KXOREVENT AND KXOR=1)THEN TKXOR=1;END IF;END PROCESS;PROCESS(RSTN,KEQU)BEGINIF RSTN=0THEN TKEQU=0; ELSIF (KEQUEVENT AND KEQU=1)THEN TKEQU=1;END IF;END PROCESS;PROCESS(RSTN,CLK,TKADD,TKSUB,TKAND,TKXOR,TKEQU,TA,TB)BEGINIF RSTN=0THEN YSF=00;EN=0;XSYSF=00100000;ELSIF(CLKEVENT AND CLK

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 大杂烩/其它

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号