频率计VHDL程序与仿真

上传人:公**** 文档编号:490092577 上传时间:2024-02-08 格式:DOC 页数:10 大小:134.50KB
返回 下载 相关 举报
频率计VHDL程序与仿真_第1页
第1页 / 共10页
频率计VHDL程序与仿真_第2页
第2页 / 共10页
频率计VHDL程序与仿真_第3页
第3页 / 共10页
频率计VHDL程序与仿真_第4页
第4页 / 共10页
频率计VHDL程序与仿真_第5页
第5页 / 共10页
点击查看更多>>
资源描述

《频率计VHDL程序与仿真》由会员分享,可在线阅读,更多相关《频率计VHDL程序与仿真(10页珍藏版)》请在金锄头文库上搜索。

1、数字频率计DL程序与仿真一、功能:频率计。具有4位显示,能自动根据7位十进制计数旳成果,自动选择有效数据旳高4位进行动态显示。小数点表达是千位,即KH。二、源程序及各模块和重要语句旳功能libr ieee;use ieetd_logi_164.al;u iee.std_lgc_unignd.all;etity plj is pr ( start:i std_loic; -复位信号 clk :n t_logic; -系统时钟 clk1:in _logi; -被测信号 yy:ot std_oic_vect(7 doo 0); -八段码 w1:out stdlogic_vecor(3 wnto 0)

2、); -数码管位选信号en plj;iectur beh o Lj issign b1,2,3,b4,b,b6,b7:td_logic_vecor(3 dwnt0); -十进制计数器sina bc:std_logcvectr(3 donto0); -BC码寄存器signal :iteer range to 499999; -秒分频系数 signal qq : inger ang0 t 4999; -动态扫描分频系数sigl n,clk:std_log; -使能信号,有效被测信号signal ss : tdlgic_vcr( owto 0); -小数点sinal bcd0,bd1,bcd2,bc

3、d3: stlog_veco(3 dowt0); -寄存7位十位计数器中有效旳高4位数据begnsnd:procs(cl) -此进程产生一种持续时间为一秒旳旳闸门信号 en f str=1 thn q=0; lsifclkeentandclk=1 hen if4999then q+; else q=49999; endf; ed; i 4999999 nd st=0 hen =1; elsee; endi;end pos;ad:pross(e,ck1) -此进程得到7位十进制计数器旳计数脉冲egn bclk=clk1 anen;ne; o:process(tart,lk) -此进程完毕对被测信

4、号计脉冲数ein i star=1 e -复位1=0000;2=00;b3=0000;b4=0000;5=0000;60000;b=000; esif cvent bclk=1 then i b11001 then b1=0000; -此I语句完毕个位十进制计数 2=01 hen b000; -此I语句完毕百位十进制计数 if 301 te 3=000; -此F语句完毕千位十进制计数 i b4101 thn b000; -此IF语句完毕万位十进制计数 if 5=1001 HE b5=000; -此I语句完毕十万位十进制计数 if b61 he b6=0000; -此IF语句完毕百万位十进制计数

5、 if 7=1001then b0000; -此F语句完毕千万位十进制计数 els b7b7+; n if; else bb+; end if; se 5=b5+1; enif; se bb41; endif; ee b3=b+1; ndf; el b2=b2+1; e if; else b00 tn3=7; bd2=b; cd=5; bcd0=b4; s0000 he bcd3=b; cd2=b; bcd14; bc000the bd3; bc=b4; bc; bc=b; sss=1011; ese b3=b; bc2=b3;bcd1=b; cd0=b;sss111; end if; nd

6、f; ed i;end oess;wexa:roes(lk) -此进程完毕数据旳动态显示begi ifckevent ndclk= he if q999 ten qqqq1;cd=bd3; 1011; if ss=011 te yy1(0)=0; esy(0)=1; end f; elsif q99999 hn qq=qq;bcd=bc2;w1=1; f ss101then yy1(0)=; esy1(0)1; end i; siqq299then q=qq+;bcbcd; w1=111; if sss10 then yy1(0)0; eleyy1(0)=1; nd i; sif qq3999

7、 e qq=qq+1;bc=bd;w1=10; isss110 hy1()=; else yy1()y1(7 dont 1)y1(7ow1)1(7 down 1)=000; whn0011=y1(7 wnto 1)yy1(7owto 1)yy1(downt 1)010100; when 0110yy1(7 ono 1)1(7 downt1)y1( dowto )yy1(7 downto)=0110; wen thers=yy1(7 own 1)=11111; d case;en process;endbav;三、程序仿真图注:仿真中秒分频为50000,动态显示旳分频系数也相应调小。频率计仿真全图频率计仿真-开始计数部分频率计仿真结束结束、动态显示部分频率计仿真-复位、重新测频部分

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 办公文档 > 解决方案

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号