可程控移相电路设计

上传人:ni****g 文档编号:489886223 上传时间:2022-11-06 格式:DOCX 页数:12 大小:314.68KB
返回 下载 相关 举报
可程控移相电路设计_第1页
第1页 / 共12页
可程控移相电路设计_第2页
第2页 / 共12页
可程控移相电路设计_第3页
第3页 / 共12页
可程控移相电路设计_第4页
第4页 / 共12页
可程控移相电路设计_第5页
第5页 / 共12页
点击查看更多>>
资源描述

《可程控移相电路设计》由会员分享,可在线阅读,更多相关《可程控移相电路设计(12页珍藏版)》请在金锄头文库上搜索。

1、可程控移相电路设计根据下图所示的电路原理框图,自行设计一可程控移相电路,要求最小移相角度不大于1。(输入信号:正弦波,lkHz,Vp-=2V)+520IC1Vin(+)1817212RD9r-OD6TI22OE27信号 输入7 卫T416L514 TT18171615141312o i z z i OiCE 寸叮 9L86iiiSS OO系统时钟DB0DB1DB2DB3DB4DB5DB6DB7A/D转换IC4R1100信号输岀WEIC36264IsbDIO Vcc ILEDllDI2RibDI3IC2DI4DAC0832DI5IoutlDI6 msbDI7WR1 Iout2Vref CS Xf

2、er WR29位全加器DODID2D3D4D5D6D7D8CLKQ0Ql qQ2 二Q3位Q4 ibQ5益Q6数Q? 器Q8控制逻辑 产生电路(一)查阅A/D转换芯片TLC5510、随机存贮器6264、D/A转换芯片DAC0832的应用资料。(二)查阅有关模拟信号移相电路的相关资料。(三)自行设计实现本实验项目要求的实验电路图。(四)自拟实验步骤和实验表格,测试所设计电路是否达到实验要求。控制信号时序图(大概)0 1 2系统时钟0 1 2JJTJTLJTJTTTJTJTJTJrLJTJTrRAM.OE RAM.WE0832.WR2A/D.RDA/D输出-n.三态控制凶XXX)恥n dgndH

3、Dl (LSB) H02 ED3UD4UdsED68D7lD& (MSB) E VdddEI CLK匝TLC551QHdgnosREFBHREFBS0 AGND圆 AGND13 ANALOG INH VWA13 REFT国 REPTSH Vdda13 VPDAH Vbdd图I TLC5510的引脚排列8位高速A/D转换器TLC5510的应用摘要:TLC5510是美国德州仪器(TI)公司生产的8位半闪速结构模数转换器,它采用CMOS 工艺制造,可提供最小2 0Msps的采样率。可广泛用于数字TV、医学图像、视频会议、 高速数据转换以及QAM解调器等方面。文中介绍了 TLC5510的性能指标、引脚

4、功能、内部 结构和操作时序,给出了 TLC5510的应用线路设计和参考电压的配置方法。关键词:高速AD转换;数据采集;TLC55101概述TLC551 0是美国TI公司生产的新型模数转换器件(ADC),它是一种采用CMO S工艺制造的8位高阻抗并行A/D芯片,能提供的最小采样率为20MSPS。由于TL C551 0采用了半闪速结构及CMOS工艺,因而大大减少了器件中比较器的数量,而且 在高速转换的同时能够保持较低的功耗。在推荐工作条件下,TLC551 0的功耗仅为13 0mWo由于TLC551 0不仅具有高速的A/D转换功能,而且还带有内部采样保持 电路,从而大大简化了外围电路的设计;同时,由

5、于其内部带有了标准分压电阻,因而可以从+5V的电源获得2V满刻度的基准电压TLC551 0可应用于数字TV、医学图像、 视频会议、高速数据转换以及QAM解调器等方面。2 内部结构、引脚说明及工作原理2.1 TLC551 0的引脚说明TLC551 0为24引脚、PSOP表贴封装形式(NS)。其引脚排列如图1所示。各 引脚功能如下:AGND :模拟信号地;ANALOG IN:模拟信号输入端;CLK:时钟输入端;DGND :数字信号地;D1D8:数据输出端口 D1为数据最低位,D8为最高位;OE:输出使能端。当OE为低时,D1D8数据有效,当OE为高时,D1D8为 高阻抗;VDDA :模拟电路工作电

6、源;VDDD :数字电路工作电源;REFTS :内部参考电压引出端之一,当使用内部电压分压器产生额定的2V基准电压 时,此端短路至REFT端;REFT :参考电压引出端之二;REFB :参考 电压引出端之三;REFBS :内部参考电压引出端之四,当使用内部电压基准器产生额定的2V基准电压 时,此端短路至REFB端。OEREFBSXXXUi.)图2 TLC551O的内部结构框图图3 TLC551O的工作时序ANALOG IN (输出信号)DI -D8 (输出数据)-DI (LSB-D8 (MSBCLK (时钟)REFB2700 NOM320Q NOMAGND- AGND-Vdoa-REFTS-t

7、 ANALOG IN高4位采样 比较器低4位采样 比较器低4位采样 比较器低位 数据 锁存器低4位编码器离位 叛据 锁存器低4位编码器高4位编码器2.2 TLC5510的内部结构及工作过程TLC551 0的内部结构如图2所示。由图中可以看出:TLC551 0模数转换器内含 时钟发生器、内部基准电压分压器、1套高4位采样比较器、编码器、锁存器、2套低4位 采样比较器、编码器和1个低4位锁存器等电路。TLC551 0的外部时钟信号CLK通 过其内部的时钟发生器可产生3路内部时钟,以驱动3组采样比较器。基准电压分压器则可 用来为这3组比较器提供基准电压。输出A/D信号的高4位由高4位编码器直接提供,

8、而 低4位的采样数据则由两个低4位的编码器交替提供。TLC551 0的工作时序见图3。时钟信号CLK在每一个下降沿采集模拟输入信号。第 N次采集的数据经过2.5个时钟周期的延迟之后,将送到内部数据总线上。在图3所示的工作时序的控制下,当第一个时钟周期的下降沿到来时,模拟输入电压将被采 样到高比较器块和低比较器块,高比较器块在第二个时钟周期的上升沿最后确定高位数据, 同时,低基准电压产生与高位数据相应的电压。低比较块在第三个时钟周期的上升沿的最后 确定低位数据。高位数据和低位数据在第四个时钟周期的上升沿进行组合,这样,第N次采 集的数据经过2.5个时钟周期的延迟之后,便可送到内部数据总线上。此时

9、如果输出使能 0E有效,则数据便可被送至8位数据总线上。由于CLK的最大周期为5 0ns,因此, TLC551 0数模转换器的最小采样速率可以达到20MSPS。图4典型外围电路OutputEnableVdooCLKVddaVdddVdda08 (MSB)REFTSD7REFTD6TLC551OA D5VddaD4agndANALOGINAGNDD2REFBSDI (LSB)REFBDGNDDGEW0E3在线阵CCD数据系统中的应用图4为TLC551 0的典型外接电路。图中的FBIFB3为高频磁珠,模拟供电电 源AVDD经FB1FB3为三部分模拟电路提供工作电流,以获得更好的高频去耦效 果。笔者

10、研制的该线阵CCD数据采集系统主要由时序发生器、CCD驱动电路、视频信号预处 理电路及ADC、数据存储器、PC机等组成TLC551 0的高速、内带采样保持电路 等特点使其更利于该设计TLC551 0的主要作用是将CCD输出的高速模拟视频信号 转换为与其模拟幅值相对应的8位数字视频信号。图5是笔者设计的视频信号A/D转换器 TLC551 0的外围电路。TLC551 0可使用外部和内部两种基准电压连接方法。其 中外部基准电压从引脚REFT和REFB接入,并应满足:VREFB+2VWVREFWVDDA0WVREFBWVREFB2V2VWVREFTVREFBW5V对于从零电平开始的正极性模拟输入电压,

11、REFB应当连接到模拟地AGND。VREF T的范围为2V5V。如果要简化电路,可利用TLC551 0的内部分压电阻从模拟电 源电压VDDA上取得基准电压。在本设计中,CCD输出的模拟视频信号经过反相、滤波、 放大之后即为从零电平开始的正极性模拟电压信号。因此,为了简化电路并同时满足设计要 求,笔者选用了TLC5 5 1 0的内部基准方式,同时,因为CCD视频信号是2V基准, 所以,根据TLC551 0的自身的特点,在设计过程中,笔者将REFBS端与AGND, 而将REFTS与VDDA端相连,同时将REFBS短接至REFB端,REFTS短接 至REFT端来获得2V基准电压。在用该数据采集系统采

12、集数据的过程中,当CCD输出端输出视频信号时,在由时序发生器 产生的A/D转换控制时钟CLK的同步控制下,TLC551 0会将差动放大、低通滤 波后的CCD模拟视频信号实时地转换为与其模拟幅值相对应的8位数字信号,当TLC5 5 10的输出使能OE为低电平且高速数据存储器的地址译码控制和写控制均有效时,系 统可将转换结果存入高速数据存储器,以等待PC机的读取。为了使CCD输出的视频信号 能够正确可靠的转换和存储,在设计过程中,笔者对TLC551 0的工作控制时钟CLK、 输出使能OE及高速数据存储器的地址译码控制时钟、读写控制时钟的周期做了具体的时间 预算,并对它们之间的逻辑相位关系做了详细的

13、研究。根据预算,笔者将时序发生器内部的 计数器、比较器、逻辑门以及D触发器等进行逐级分频和逻辑组合,从而使其产生正确可靠 的时序逻辑。系统及数据分析实验证明,采用TLC5 5 1 0作为线阵CCD视频信号的A /D转换芯片,其接口电路简单实用,使用方便,稳定性好。4结束语在对TLC551 0模数转换器及其在线阵CCD数据采集系统的应用设计中,笔者通过实 验总结出如下经验:(1) 为了减少系统噪声,外部模拟和数字电路应当分离,并应尽可能屏蔽。(2) 因为TLC551 0芯片的AGND和DGND在内部没有连接,所以,这些引脚 需要在外部进行连接。为了使拾取到的噪声最小,最好把隔开的双绞线电缆用于电

14、源线。同 时,在印制电路板布局上还应当使用模拟和数字地平面。(3) VDDA至AGND和VDDD至DGND之间应当分别用1“F电容去耦,推荐 使用陶瓷电容器。对于模拟和数字地,为了保证无固态噪声的接地连接,试验时应当小心。(4) VDDA、AGND以及ANALOG IN 引脚应当与高频引脚CLK和D0 D7隔离开。在印制电路板上,AGND的走线应当尽可能地放在ANALOG IN走线 的两侧以供屏蔽之用。(5) 为了保证TLC551 0的工作性能,系统电源最好不要采用开关电源。基于TLC5510的数据采集系统设计1 TLC5510 简介TLC5510是美国德州仪器(TI)公司的8位半闪速架构A/D转换器。采用CMOS工艺, 大大减少比较器数。TLC5510最大可提供20 Ms/s的采样率,可广泛应用于高速数据转 换、数字TV、医学图像、视频会议以及QAM解调器等领域。TLC5510的工作电源为5 V, 功耗为100 mW(典型值)。内置采样保持电路,可简化外围电路设计。TLC5510具有高阻 抗并行接口和内部基准

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 学术论文 > 其它学术论文

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号