4×4键盘扫描电路设计

上传人:新** 文档编号:489849549 上传时间:2024-01-21 格式:DOCX 页数:13 大小:287.87KB
返回 下载 相关 举报
4×4键盘扫描电路设计_第1页
第1页 / 共13页
4×4键盘扫描电路设计_第2页
第2页 / 共13页
4×4键盘扫描电路设计_第3页
第3页 / 共13页
4×4键盘扫描电路设计_第4页
第4页 / 共13页
4×4键盘扫描电路设计_第5页
第5页 / 共13页
点击查看更多>>
资源描述

《4×4键盘扫描电路设计》由会员分享,可在线阅读,更多相关《4×4键盘扫描电路设计(13页珍藏版)》请在金锄头文库上搜索。

1、设计项目成绩评定表一、设计任务及要求:1、设计任务:利用EDA设计试验箱、微机和QuartusU软件系统,设计并实现一个4*4键盘扫描电路。设计过程中使用VerilogHDL语言,完成硬件设计和功能仿真。最后下载EDA设计试验箱中予以实现。2、要求:在时钟控制下循环扫描键盘,根据列扫描信号和对应键盘响应信号确定键盘按键位置,并将按键值显示在7段数码管上。指导教师签名:年月日二、指导教师评语:指导教师签名:年月日三、成绩评定:指导教师签名:年月日四、系部意见:系部盖早:年月日设计报告书目录一、设计目的3二、设计思路3三、设计内容43.1、原理分析43.11、4*4键盘的扫描43.1.2、扫描结果

2、的显示53.1.3、电路符号53.1.4、数码管地址选择控制信号63.2、程序设计63.3、管脚分配10四、系统调试与结果11五、主要元器件与设备12六、课程设计体会13七、参考文献13、设计目的1、掌握常用的输入设备一行列式键盘接口电路的实现方法。2、学习较复杂的数字系统设计方法。二、设计思路如下图所示为“4*4键盘”模拟图。行“kbrow”有四行:kbrow0、kbrowl、kbrow2、kbrow3。歹U“kbcol”有四歹U:kbcol。、kbcoll、kbcol2、kbcol3通过给四行赋值循环地对每行进行扫描,例如:通过行线赋值为“1000”时,这样就使得第0行“0键、1键、2键、

3、3键”处在高电平的状态下。此时如果0键被按下,那么就有第0列输出为高电平。即四位kbcol输出为“1000”,获取键值为“0”然后在7段数码管上显示出来。kbrowOkbrowlkbrow2kbrow3+JHSQSBBQQ0kbcoldkbcollkbcol2kbcc13图14*4键盘三、设计内容3.1、原理分析在数字系统设计中,4*4矩阵键盘是一种常见的输入装置,通常作为系统的输入模块。对应丁键盘上每一个键的识别,一般采用扫描的方法来实现。下面介绍一种用列信号进行扫描时的基本原理和流程,如图2所示。当进行列扫描时,0B0EGBBH000HH扫描信号由列引脚进入键盘,以1000、0100、00

4、10、0001的顺序每次扫描不同的一列,然后读取行引脚的点评信号,以此可以判断是哪个按键被按下。例如,当扫描行信号独处的值为“0000”时,表示正在扫描“89AB”一列,如果该列没有按键被按下,则由行信号读出的值为“0000”;反之,如果按键“9”被按下时,则该行信号读出的值为“0110”。1000-。1叩。00100001100001。/00100001图24*4键盘及行列代码3.11、4*4键盘的扫描在这一部分中,利用时钟信号进行扫描和频率计数器的计数来完成对键盘的扫描。程序配套的4*4矩阵键盘电路图如下图所示:图3程序配套的4*4矩阵键盘电路图时钟信号给定相应的时钟信号,通过它的上升沿信

5、号给计数器赋值。并同时读取复位信号,一旦获取到相应的复位信号,所有键盘值即被初始化复位。3.1.2、扫描结果的显示用7段数码管显示相应的扫描结果。其中7段数码管采用共阴极读取键值。图47段数码管及所对应的I/O接口当某一键被按下,相应的7段数码管获得高电平,便显示该键值,如图4所示:g*1/04#一I/05v叩出二叩卯3.1.3、电路符号4*4矩阵键盘扫描电路的电路符号如图5所示。输入信号:时钟信号clk;开始信号start;行扫描信号kbrow3:0。输出信号:列扫描信号kbcool3:0;7段显示控制信号seg7_out6:0;数码管地址选择控制信号scan2:0,图54*4矩阵键盘扫描电

6、路的电路符号3.14数码管地址选择控制信号数码管地址选择控制信号scan2:0用丁选择哪个数码管显示数字,8个数码管(SM8-SM1)为共阴极数码管(段码为高电平点亮数码管),I/O2-I/O0为数码管位选,位选对应的数码管关系如下表一所示:表一:数码管地址选择控制信号对应的位选接口序列(硬件接口管教号)数码管选中状态,可显示I/O0(scan0)I/O1(scan1)I/O3(scan2)111SM8110SM7101SM6100SM5011SM4010SM3001SM2000SM13.2、程序设计modulejp(kbrow,seg7_out,scan,clk,start,kbcol);o

7、utput3:0kbrow;/列扫描信号output6:0seg7_out7段显示控制信号output2:0scan;/数码管地址选择控制信号inputclk,start;/扫描时钟信号,开始信号,高电平有效input3:0kbcol;/行扫描信号reg3:0kbrow;reg6:0seg7_out;reg2:0scan;reg1:0sta;reg1:0count;reg6:0seg7;reg4:0dat;regfn;/按键标志位,判断是否有键被按下initialscan=3b000/只使用一个数码管显示/循环扫描计数器always(posedgeclk)beginif(start=0)seg

8、7=7b0000000;elsebegincount=count+1;/循环列扫描case(count)2b01:beginkbrow=4b0010;sta=2b01;end2b10:beginkbrow=4b0100;sta=2b10;end2b11:beginkbrow=4b1000;sta=2b11;endendcase/行扫描译码case(sta)2b00:begincase(kbcol)4b0001:beginseg7=7b111_1001;dat=5b00011;end4b0010:beginseg7=7b110_1101;dat=5b00010;end4b0100:beginse

9、g7=7b011_0000;dat=5b00001;end4b1000:beginseg7=7b111_1110;dat=5b00000;enddefault:beginseg7=7b000_0000;dat=5b11111;endendcaseend2b01:begincase(kbcol)4b0001:beginseg7=7b111_0000;dat=5b00111;end4b0010:beginseg7=7b101_1111;dat=5b00110;end4b0100:beginseg7=7b101_1011;dat=5b00101;enddefault:beginseg7=7b000_

10、0000;dat=5b11111;endendcaseend2b10:begincase(kbcol)4b0001:beginseg7=7b001_1111;dat=5b01011;end4b0010:beginseg7=7b111_0111;dat=5b01011;end4b0100:beginseg7=7b111_1011;dat=5b01001;end4b1000:beginseg7=7b111_1111;dat=5b01000;enddefault:beginseg7=7b000_0000;dat=5b11111;endendcaseend2b11:begincase(kbcol)4b

11、0001:beginseg7=7b100_0111;dat=5b01111;end4b0010:beginseg7=7b100_1111;dat=5b01110;end4b0100:beginseg7=7b011_1101;dat=5b01101;end4b1000:beginseg7=7b100_1110;dat=5b01100;enddefault:beginseg7=7b000_0000;dat=5b11111;endendcaseenddefault:seg7=7b000000;endcaseendendalwaysbeginfn=(dat0&dat1&dat2&dat3&dat4);

12、end/产生按键标志位,用于储存按键信息always(posedgefn/按键信息储存beginseg7_out=seg7;endendmodule3.3、管脚分配表二:管脚分配表tolocationclkPIN_79seg7_out6PIN_10seg7out5PIN11seg7out4PIN12seg7out3PIN13seg7out2PIN14seg7out1PIN15seg7out0PIN16kbrowPIN62kbrow2PIN_61kbrow1PIN_60kbrow0PIN_58kbcol0PIN_67kbcol1PIN_65kbcol2PIN_64kbcol3PIN_63scan

13、0PIN_7scan1PIN_8scan2PIN_9四、系统调试与结果将实验箱与微机和电源分别连接好。首先检测试验箱是否可以使用,经检测无误后,开通电源。根据引脚的锁定情况,将clk时钟接128Hz时钟输入,对引脚配置编译后,可将设计好的程序下载到EDA实验箱,即可测试功能。当对应“数字E”按键的按下,在实验箱的7段数码管上,会显示对应的数字E。实验效果图如下图所示:五、主要元器件与设备1、EDA技术试验箱一个2、计算机一台3、QuartusU软件六、课程设计体会通过此次课程设计,让我对EDA这门技术有了更深的体会,并更好的学会了使用QuartusU软件进行硬件设计。此次课程设计时基于Veri

14、logHDL语言进行的矩阵键盘控制接口电路设计,在课程设计时,我逐渐掌握了VerilogHDL语言的语句及语法等的使用。但在学习过程中,也遇到了很多困难,尤其是VerilogHDL语言的运用。我先上网找了一些资料和程序,一点点的看,慢慢摸索着学习写语句。最后在老师和同学的帮助下,终于完成了矩阵键盘控制接口电路的设计。1 七、参考文献宋烈武.EDA技术与实践教程.北京:电子工业出版社,2009.8江国强.数字系统的VerilogHDL设计.北京:机械工业出版社,2007.8罗杰.VerilogHDL与数字ASIC设计基础.武汉:华中科技大学出版社,2008年3月周润景苏良碧.基于QuartusII的数字系统VerilogHDL设计实例详解.北京:电子工业出版社,2010.5潘松黄继业潘明.EDA技术实用教程一VerilogHDL版(第四版).北京:科学出版社,2010

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 办公文档 > 活动策划

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号