基于FPGA的曼彻斯特编码器的设计说明

上传人:枫** 文档编号:489588623 上传时间:2024-01-31 格式:DOC 页数:54 大小:815KB
返回 下载 相关 举报
基于FPGA的曼彻斯特编码器的设计说明_第1页
第1页 / 共54页
基于FPGA的曼彻斯特编码器的设计说明_第2页
第2页 / 共54页
基于FPGA的曼彻斯特编码器的设计说明_第3页
第3页 / 共54页
基于FPGA的曼彻斯特编码器的设计说明_第4页
第4页 / 共54页
基于FPGA的曼彻斯特编码器的设计说明_第5页
第5页 / 共54页
点击查看更多>>
资源描述

《基于FPGA的曼彻斯特编码器的设计说明》由会员分享,可在线阅读,更多相关《基于FPGA的曼彻斯特编码器的设计说明(54页珍藏版)》请在金锄头文库上搜索。

1、毕业设计(论文)题目: 基于FPGA的曼彻斯特编码器的设计 / 毕业设计(论文)原创性声明和使用授权说明原创性声明本人重承诺:所呈交的毕业设计(论文),是我个人在指导教师的指导下进行的研究工作与取得的成果。尽我所知,除文中特别加以标注和致的地方外,不包含其他人或组织已经发表或公布过的研究成果,也不包含我为获得与其它教育机构的学位或学历而使用过的材料。对本研究提供过帮助和做出过贡献的个人或集体,均已在文中作了明确的说明并表示了意。作 者 签 名:日 期:指导教师签名: 日期:使用授权说明本人完全了解大学关于收集、保存、使用毕业设计(论文)的规定,即:按照学校要求提交毕业设计(论文)的印刷本和电子

2、版本;学校有权保存毕业设计(论文)的印刷本和电子版,并提供目录检索与阅览服务;学校可以采用影印、缩印、数字化或其它复制手段保存论文;在不以赢利为目的前提下,学校可以公布论文的部分或全部容。作者签名: 日 期:学位论文原创性声明本人重声明:所呈交的论文是本人在导师的指导下独立进行研究所取得的研究成果。除了文中特别加以标注引用的容外,本论文不包含任何其他个人或集体已经发表或撰写的成果作品。对本文的研究做出重要贡献的个人和集体,均已在文中以明确方式标明。本人完全意识到本声明的法律后果由本人承担。作者签名: 日期: 年 月 日学位论文使用授权书本学位论文作者完全了解学校有关保留、使用学位论文的规定,同

3、意学校保留并向国家有关部门或机构送交论文的复印件和电子版,允许论文被查阅和借阅。本人授权大学可以将本学位论文的全部或部分容编入有关数据库进行检索,可以采用影印、缩印或扫描等复制手段保存和汇编本学位论文。涉密论文按学校规定处理。作者签名:日期: 年 月 日导师签名: 日期: 年 月 日毕业设计(论文)任务书I、毕业设计(论文)题目:基于FPGA的曼彻斯特编码器的设计II、毕业设计(论文)使用的原始资料(数据)与设计技术要求:MIL-STD-1553B是一种集中控制式、时分指令/响应型多路串行数据总线标准,具有高可靠性和灵活性,已经成为现代航空机载系统设备互联的有效解决方案,广泛地应用于飞机、舰船

4、、坦克等武器平台上,并且越来越多地应用到民用领域。MIL-STD-1553B总线上的数据以双相曼彻斯特编码的方式传输。1553B总线曼彻斯特码编码器的主要功能就是把来自外部的并行二进制数据转化为1553B总线上传输的串行信息,并且对这些串行数据进行曼彻斯特码编码,再加上同步头和奇偶效验位,使之成为能够以1553B总线协议所要求的格式在总线中进行传输。本课题基于FPGA平台实现曼彻斯特编码器的设计。III、毕业设计(论文)工作容与完成时间:第 1周-第 3周:资料查找、方案论证、英文资料翻译、开题报告撰写。第 4周-第 7周:学习MIL-STD-1553B总线的相关知识,熟悉曼彻斯特编码器原理。

5、第 8周-第14周: 熟悉FPGA编程,使用VHDL语言完成曼彻斯特编码器的设计。第15周-第17周:归总系统文档,撰写毕业论文。第18周:准备答辩。第 1周-第 3周:资料查找、方案论证、英文资料翻译、开题报告撰写。 、主要参考资料:1.徐志军.EDA技术与VHDL设计M.:电子工业,2009.2.松,敏.EDA技术与其应用M.:科学,2008.3.洪伟等.基于Quartus II的FPGA/CPLD设计M.:电子工业,2006.4.凯.MIL-STD-1553B总线曼彻斯特码编码器的设计与实现D.大学,2006.5.唐剑,王勇.MIL-STD-1553B总线曼彻斯特码编码器的CPLD实现J

6、.技术与市场,2008,2.6.石红梅,泳. 采用FPGA实现1553B总线接口专用芯片设计C. 第十三届全国遥测遥控技术年会论文,2004.7.解传军,王海滨. 基于FPGA的航空总线协议接口设计J. 电子设计工程,2009,5.8.友泉.现场可编程门阵列J.世界电子元器件,2005,10.信息工程 系 电子信息工程 专业类 0782052 班学生(签名): 填写日期: 2010 年 11 月 20 日指导教师(签名): 助理指导教师(并指出所负责的部分): 系主任(签名):附注:任务书应该附在已完成的毕业设计说明书首页。学士学位论文原创性声明本人声明,所呈交的论文是本人在导师的指导下独立完

7、成的研究成果。除了文中特别加以标注引用的容外,本论文不包含法律意义上已属于他人的任何形式的研究成果,也不包含本人已用于其他学位申请的论文或成果。对本文的研究作出重要贡献的个人和集体,均已在文中以明确方式表明。本人完全意识到本声明的法律后果由本人承担。作者签名: 日期: 年 月 日学位论文使用授权书本学位论文作者完全了解学校有关保留、使用学位论文的规定,同意学校保留并向国家有关部门或机构送交论文的复印件和电子版,允许论文被查阅和借阅。本人授权航空大学科技学院可以将本论文的全部或部分容编入有关数据库进行检索,可以采用影印、缩印或扫描等复制手段保存和汇编本学位论文。作者签名: 日期:导师签名: 日期

8、:基于FPGA的曼彻斯特编码器的设计学生:林 玉 班级:0782052 指导老师:邹 琼摘要:电子技术与航空产业飞速发展,已成为我国支柱产业之一。我国政府高度重视航空航天产业发展,“十二五”期间将进一步加大政府支持力度,促进航空航天产业快速发展。在飞机制造中,航空电子系统是其中重要的组成部分,而航空电子系统中数据总线是关键技术之一。11553B作为一种通用的军事标准协议,它对数据总线的电气和协议特性进行严格的规和约束,现已广泛运用于航空电子综合系统。该标准对航空飞机所需的数字命令响应,分时复用等数字总线技术提出了一系列的要求,其中包括数据总线的传输和协议格式。本文首先对FPGA和曼彻斯特编码相

9、关原理进行概述,尤其是航空数据总线MIL-STD-1553B。其次是对其系统组成的介绍,本次设计主要包括两大部分,并串转换器、曼彻斯特编码器。最后着重讲述该系统在quartus 软件下的运行和仿真。1553B总线协议中对传输的字类型进行了规和分类:分别是命令字、状态字和数据字。本次论文的设计主要着重于该协议总线接口部的曼彻斯特码编码器,作为总线接口的重要组成部分,曼彻斯特编码器担负着码型编写、奇偶校验等重要任务,使数据能够以1553B所定义的标准形式在数据总线中进行传输。关键词:FPGA,曼彻斯特编码,1553B总线,串并转换指导老师签字: Design of Manchester Encod

10、er Based on FPGAStudent Name:Lin Yu Class:0782052Supervisor: Zou QiongAbstract:Electronics and Aviation technology industry developed rapidly. Our country attaches great importance to the development of aerospace industry.In the period of Twelfth of Five-Year government will support further increase

11、 Aviation, it will Promotethe rapid development of the aerospace industry.Inaircraft manufacturing,Avionics System is an important part of the Aviation.The avionics system is the key technology of data bus. 1553B takes one kind of military standard,it has carried on the strict standard and the restr

12、aint to the electricity and the protocol characteristic of data bus,and it has already widely used in the aviation electron systemThis standard propose a series of requests to the digital bus technology which are obligatory to the aviation airplane numeraI/Order/response,time sharing multiple use,in

13、cluding data bus information flow and function formatThis article first introduces about the FPGA and Manchester Encoder,especially MIL-STD-1553B.Next is the introduction of its two composition unit,It including String and convert and Manchester Encoder.Fhen the one that told emphatically is quartus

14、of Operation and Simulation,in the1553Bs protocol,the word types which transmitted in the 1553B bus has carried on the standard classification,they are the order word、the status word and the data wordthe design for this paper mainly emphatically in the protocol bus interface interior Manchester code encodeg as the bus interface important constituent,the encoder is shouldering the parallelserial Ixansformation,the code compilation,the parity check and so on the important task,enables the data according to the

展开阅读全文
相关资源
正为您匹配相似的精品文档
相关搜索

最新文档


当前位置:首页 > 办公文档 > 工作计划

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号