电子设计自动化技术课件答案

上传人:cn****1 文档编号:489531784 上传时间:2023-08-20 格式:DOCX 页数:40 大小:53.02KB
返回 下载 相关 举报
电子设计自动化技术课件答案_第1页
第1页 / 共40页
电子设计自动化技术课件答案_第2页
第2页 / 共40页
电子设计自动化技术课件答案_第3页
第3页 / 共40页
电子设计自动化技术课件答案_第4页
第4页 / 共40页
电子设计自动化技术课件答案_第5页
第5页 / 共40页
点击查看更多>>
资源描述

《电子设计自动化技术课件答案》由会员分享,可在线阅读,更多相关《电子设计自动化技术课件答案(40页珍藏版)》请在金锄头文库上搜索。

1、弟一早1-1 EDA技术与ASIC设计和FPGAF发有什么关系? P34答:利用EDAfe术进行电子系统设计的最后目标是完成专用集成电路 ASIC的设计和实现; FPGAF口 CPLD1实现这一途径的主流器件。FPGAF口 CPLDS常也被称为可编程专用IC ,或 可编程ASIC FPGAF口 CPLD勺应用是EDAg术有机融合软硬件电子设计技术、 SoC(片上系 统)和ASIC设计,以及对自动设计与自动实现最典型的诠释。1-2与软件描述语言相比,VHDLt什么特点? P6答:编译器将软件程序翻译成基于某种特定CPU勺机器代码,这种彳t码仅限于这种 CPUn不能移植,并且机器代码不代表硬件结构

2、,更不能改变CPU勺硬件结构,只能被动地为其特定的硬件电路结构所利用。综合器将 VHDLB序转化的目标是底层的电路结构网表文件, 这种满足VHD段计程序功能描述的电路结构,不依赖于任何特定硬件环境;具有相对独立 性。综合器在将VHDL硬件描述语言)表达的电路功能转化成具体的电路结构网表过程中, 具有明显的能动性和创造性,它不是机械的一一对应式的“翻译”,而是根据设计库、工 艺库以及预先设置的各类约束条件,选择最优的方式完成电路结构的设计。1-3什么是综合?有哪些类型?综合在电子设计自动化中的地位是什么 ? P5什么是综合?答:在电子设计领域中综合的概念可以表示为:将用行为和功能层次表达的 电子

3、系统转换为低层次的便干具体实现的模块组合装配的过程。有哪些类型?答:(1)从自然语言转换到VHD印言算法表示,即自然语言综合。(2)从算法 表示转换到寄存器传输级(RegisterTransport Level , RTL),即从行为域到结构域的综合, 即行为综合。(3)从RTL级表示转换到逻辑门(包括触发器)的表示.即逻辑综合。(4)从逻辑门表示转换到版图表示(ASIC设计),或转换到FPGA勺配置网表文件,可称为版图综合 或结构综合。综合在电子设计自动化中的地位是什么 ?答:是核心地位(见图1-3) o综合器具有更复 杂的工作环境,综合器在接受VHDL?序并准备对其综合前,必须获得与最终实

4、现设计电路 硬件特征相关的工艺库信息,以及获得优化综合的诸多约束条件信息;根据工艺库和约束 条件信息,将VHDLS序转化成电路实现的相关信息。1-4在EDA技术中,自顶向下的设计方法的重要意义是什么? P710答:在EDAft术应用中,自顶向下的设计方法、就是在整个设计流程中各设计环节逐步求 相的过程。1-5 IP在EDA技术的应用和发展中的意义是什么? P1112答:IP核具有规范的接口协议,良好的可移植与可测试性,为系统开发提供了可靠的保证。第二章2-1叙述EDA勺FPGA/CPL破计流程。P1316答:1.设计输入(原理图/HDL文本编辑);2. ;3.皿;4.时序仿真与功能仿真;5.缅

5、 程下载;6.硬件测试。2-2 IP 是什么?IP与EDAK术的关系是什么? P2426IP是什么?答:IP_是知识产权核或知识产权模块,用于 ASIC或FPGA/CPL叫的预先设计好的电路功能模块IP与EDAK术的关系是什么?答:IP在EDA技术开发中具有十分重要的地位; 与ED徽术 的关系分有软IP、固IP、硬IP:软IP是用VHD由硬件描述语言描述的功能块,并不涉 及用什么具体电路元件实现这些功能;软IP通常是以硬件描述语言HD必文件的形式出现。周IP是完成了综合的功能块,具有较大的设计深度,以网表文件的形式提交客户使用。理 IP提供设计的最终阶段产品:掩模。2-3叙述ASIC的设计方法

6、。P1819答:ASIC设计方法,按版图结构及制造方法分有半定叽(Semi-custom)和全定制 (Full-custom) 两种实现方法。全定制方法是一种基于晶体管级的、手工设计版图的制造方法。平定制法是一种约束性设计方式, 约束的目的是简化设计,缩短设计周期,降低设计成本, 提高设计正确率。半定制法按逻辑实现的方式不同,可再分为门阵列法、标准单元法和可 _ 编程逻辑器件法。2-4 FPGA/CPLD在ASIC设计中有什么用途? P16,18答:FPGA/CPLDE ASIC设计中,属于可编程 ASIC的逻辑器件;使设计效率大为提高,上 市的时间大为缩短。2-5简述在基于FPGA/CPLD

7、J EDAS计流程中所涉及的EDA工具,及其在整个流程中的作 用。P1923答:基于FPGA/CPLDJ ED破计流程中所涉及的 EDAT具有:设计输入编辑器(作用:接 受不同的设计输入表达方式,如原理图输入方式、状态图输入方式、波形输入方式以及HDL 的文本输入方式。);HDLi宗合器(作用:HDL合器根据工艺库和约束条件信息:将设计输入编辑器提供的信息转化为目标器件硬件结构细节的信息,并在数字电路设计技术、化 简优化算法以及计算机软件等复杂结体进行优化处理);仿真器(作用:行为模型的表达、电子系统的建模、逻辑电路的验证及门级系统的测试);适配器(作用:完成目标系统在 器件上的布局和布线);

8、下载器(作用:把设计结果信息下载到对应的实际器件,实现硬 件设计)。第三章3-1 OLMC俞出逻辑宏单元)有何功能?说明GAL是怎样实现可编程组合电路与时序电路的。 P3436OLMCT何功能?答:OLMC元设有多种组态,可配置成专用组合输出、专用输入、组合输 出双向口、寄存器输出、寄存器输出双向口等。说明GAL是怎样实现可编程组合电路与时序电路的 ?答:GAL(通用阵列逻辑器件)是通过 对其中的OLMC输出逻辑宏单元)的编程和三种模式配置(寄存器模式、复合模式、简单 模式),实现组合电路与时序电路设计的。3-2什么是基于乘积项的可编程逻辑结构 ? P3334, 40答:GAL CPL四类都是

9、基于乘积项的可编程结构;即包含有可编程与阵列和固定的或阵 烈的PAL (可编程阵列逻辑)器件构成。3-3什么是基于查找表的可编程逻辑结构 ? P4041答:FPGA(现场可编程门阵列)星基于杳找表的用编程逻辑结构。3-4 FPGA系列器件中的LAB有何作用? P4345答:FPGACyclone/Cyclone II )系列器件主要由逻辑阵列块 LAR嵌入式存储器块(EAB、 I/O单元、嵌入式硬件乘法器和 PLL等模块构成;其中LAB(逻辑阵列块)由一系列相邻的 LE (逻辑单元)构成的;FPGA?编程资源主要来自逻辑阵列块 LAB3-5与传统的测试技术相比,边界扫描技术有何优点 ? P47

10、50答:使用BST (边界扫描测试)规范测试,不必使用物理探针,可在器件正常工作时在系统捕获测量的功能数据。克服传统的外探针测试法和“针床”夹具测试法来无法对IC内部试点无法测试的难题。3-6解释编程与配置这两个概念。P58答:编程:基于电可擦除存储单元的EEPROM Flash技术。CPLT股使用此技术进行编程。CPLD&编程后改变了电可擦除存储单元中的信息,掉电后可保存。电可擦除编程工艺 的优点是编程后信息不会因掉电而丢失,但编程次数有限,编程的速度不快。配置:基于SRAMe找表的编程单元。编程信息是保存在 SRAW白1 SRAMfc掉电后编程信 息立即丢失,在下次上电后,还需要重新载入编

11、程信息。大部分 FPG麻用该种编程工艺。该类器件的编程一般称为配置。对于SRAhfi FPG俅说,配置次数无限,且速度快;在加_电时可随时更改逻辑;下载信息的保密性也不如电可擦除的编程。3-7请参阅相关资料,并回答问题:按本章给出的归类方式,将基于乘积项的可编程逻辑 结构的PLD器件归类为CPLD将基于查找表的可编程逻辑结构的 PLD器什归类为FPGA那 么,APEXI(歹1属于彳f么类型PLD器件? MAX II系列又属于什么类型的PLD器件?为什么? P5456答:APEX(Advanced Logic Element Matrix) 系列属于FPGAfe型PLD器件:编程信息存于 SRA

12、W。 MAX II系列属于 CPLDWPLD器件:编程信息存干 EEPROMP。第四章4-1 :画出与下例实体描述对应的原理图符号元件:ENTITY buf3s IS - 实体1:三态缓冲器PORT (input : IN STD_LOGIC ; - 输入端enable : IN STD_LOGIC ; - 使能端output : OUT STD_LOGIC ) ; - 输出端END buf3x ;ENTITY mux21 IS - 实体 2: 2 选 1 多路选择器PORT (in0, in1, sel : IN STD_LOGIC;output : OUT STD_LOGIC);4-1.

13、答案4-2.图3-30所示白是4选1多路选择器,试分别用IF_THEN吾句和CAS郎句的表达方式 写出此电路的VHDLS序。选择才5制的信号si和s0的数据类型为STD_LOGIC_VECTOR s1=0 , s0=0 ; s1=0 , s0=1 ; s1=1 , s0=0 和 s1=1 , s0=1 分别执行y=a、y=b、 y=c 、 y=d。4-2. 答案LIBRARY IEEE;ENTITY MUX41 ISPORT(s:IN STD_LOGIC_VECTOR(1 DOWNTO 0);输入选择信号-a,b,c,d:IN STD_LOGIC; - 输入信号y:OUT STD_LOGIC)

14、;-输出端END ENTITY;ARCHITECTURE ART OF MUX41 ISBEGINPROCESS(s)BEGINIF (S=00) THEN y=a;ELSIF (S=01) TH EN y=b;ELSIF (S=10) TH EN y=c;ELSIF (S=11) TH EN y=d;ELSE y y y y yNULL;END CASE;END PROCESS;END ART;4-3.图3-31所示的是双2选1多路选择器构成的电路 MUXK对于其中MUX21A当s=0和1 时,分别有y=a 和 y=b 。试在一个结构体中用两个进程来表达此电路,每个进程中用CASE旬描述一个2选1多路选择器MUX21A4-3. 答案LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY MUX221 ISPORT(a1,a2,a3:IN STD_LOGIC_VECTOR(1 DOWNTO 0); -输入信号-s0,s1:IN STD_LOGIC;outy:OUT

展开阅读全文
相关资源
正为您匹配相似的精品文档
相关搜索

最新文档


当前位置:首页 > 商业/管理/HR > 营销创新

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号