《数字系统设计》总复习题

上传人:公**** 文档编号:489109226 上传时间:2022-10-29 格式:DOC 页数:42 大小:280.50KB
返回 下载 相关 举报
《数字系统设计》总复习题_第1页
第1页 / 共42页
《数字系统设计》总复习题_第2页
第2页 / 共42页
《数字系统设计》总复习题_第3页
第3页 / 共42页
《数字系统设计》总复习题_第4页
第4页 / 共42页
《数字系统设计》总复习题_第5页
第5页 / 共42页
点击查看更多>>
资源描述

《《数字系统设计》总复习题》由会员分享,可在线阅读,更多相关《《数字系统设计》总复习题(42页珍藏版)》请在金锄头文库上搜索。

1、数字系统设计复习题一、选择题1. 一个项目的输入输出端口是定义在 。A. 实体中B. 结构体中C. 任何位置 D. 进程体2. 描述项目具有逻辑功能的是 。A. 实体B. 结构体C. 配置D. 进程3. 关键字ARCHITECTURE定义的是 。A. 结构体B. 进程C. 实体D. 配置4. MAXPLUSII中编译VHDL源程序时要求 。A. 文件名和实体可以不同名 B. 文件名和实体名无关C. 文件名和实体名要相同 D. 不确定5. 1987标准的VHDL语言对大小写是 。A. 敏感的B. 只能用小写C. 只能用大写D. 不敏感6. 关于1987标准的VHDL语言中,标识符描述正确的是 。

2、A. 必须以英文字母开头 B. 可以使用汉字开头C. 可以使用数字开头 D. 任何字符都可以7. 关于1987标准的VHDL语言中,标识符描述正确的是 。A. 下划线可以连用 B. 下划线不能连用C. 不能使用下划线 D. 可以使用任何字符8. 符合1987VHDL标准的标识符是 。A. A_2 B. A+2 C. 2A D. 229. 符合1987VHDL标准的标识符是 。A. a_2_3 B. a_2C. 2_2_a D. 2a10. 不符合1987VHDL标准的标识符是 。A. a_1_in B. a_in_2C. 2_a D. asd_111. 不符合1987VHDL标准的标识符是 。

3、A. a2b2 B. a1b1C. ad12 D. %5012. VHDL语言中变量定义的位置是 。A. 实体中中任何位置 B. 实体中特定位置C. 结构体中任何位置 D. 结构体中特定位置13. VHDL语言中信号定义的位置是 。A. 实体中任何位置 B. 实体中特定位置C. 结构体中任何位置 D. 结构体中特定位置14. 变量是局部量可以写在 。A. 实体中 B. 进程中 C. 线粒体D. 种子体中15. 变量和信号的描述正确的是 。A. 变量赋值号是:= B. 信号赋值号是:=C. 变量赋值号是= D. 二者没有区别16. 变量和信号的描述正确的是 。A.变量可以带出进程B.信号可以带出

4、进程C.信号不能带出进程D.二者没有区别17. 关于VHDL数据类型,正确的是 。A. 数据类型不同不能进行运算 B. 数据类型相同才能进行运算C. 数据类型相同或相符就可以运算 D. 运算与数据类型无关18. 下面数据中属于实数的是 。A. 4.2 B. 3C.1D. “11011”19. 下面数据中属于位矢量的是 。A. 4.2 B. 3C. 1D. “11011”20. 关于VHDL数据类型,正确的是 。A. 用户不能定义子类型 B. 用户可以定义子类型C. 用户可以定义任何类型的数据 D. 前面三个答案都是错误的21. 可以不必声明而直接引用的数据类型是 。A.STD_LOGICB.S

5、TD_LOGIC_VECTORC.BITD. 前面三个答案都是错误的22. STD_LOGIG_1164中定义的高阻是字符 。A. XB. xC. zD. Z23. STD_LOGIG_1164中字符H定义的是 。A. 弱信号1B.弱信号0C.没有这个定义 D.初始值24. 使用STD_LOGIG_1164使用的数据类型时 。A. 可以直接调用 B. 必须在库和包集合中声明C. 必须在实体中声明 D. 必须在结构体中声明25. 关于转化函数正确的说法是 。A.任何数据类型都可以通过转化函数相互转化 B.只有特定类型的数据类型可以转化C.任何数据类型都不能转化 D.前面说法都是错误的26. VH

6、DL运算符优先级的说法正确的是 。A. 逻辑运算的优先级最高 B. 关系运算的优先级最高C. 逻辑运算的优先级最低 D. 关系运算的优先级最低27. VHDL运算符优先级的说法正确的是 。A. NOT的优先级最高 B. AND和NOT属于同一个优先级C. NOT的优先级最低 D. 前面的说法都是错误的28. VHDL运算符优先级的说法正确的是 。A. 括号不能改变优先级 B. 不能使用括号C. 括号的优先级最低 D. 括号可以改变优先级29. 如果a=1,b=0,则逻辑表达式(a AND b) OR( NOT b AND a)的值是 。A.0 B.1C.2D.不确定30. 关于关系运算符的说法

7、正确的是 。A. 不能进行关系运算 B. 关系运算和数据类型无关C. 关系运算数据类型要相同 D. 前面的说法都错误31. 转换函数TO_BITVECTOR(A)的功能是 。 A. 将STDLOGIC_VECTOR转换为BIT_VECTOR B. 将REAL转换为BIT_VECTORC. 将TIME转换为BIT_VECTOR D. 前面的说法都错误32. VHDL中顺序语句放置位置说法正确的是 。A. 可以放在进程语句中B. 可以放在子程序中C. 不能放在任意位置 D. 前面的说法都正确33. 不属于顺序语句的是 。A.IF语句B.LOOP语句 C.PROCESS语句D.CASE语句34. 正

8、确给变量X赋值的语句是 。A. X=A+B; B. X:=A+b; C. X=A+B;D. 前面的都不正确35. EDA的中文含义是 。A. 电子设计自动化 B. 计算机辅助计算C. 计算机辅助教学 D. 计算机辅助制造36. 可编程逻辑器件的英文简称是 。A. FPGA B. PLAC. PALD. PLD37. 现场可编程门阵列的英文简称是 。A. FPGAB. PLAC.PAL D.PLD38. 基于下面技术的PLD器件中允许编程次数最多的是 。A.FLASHB.EEROMC.SRAMD.PROM39. 在EDA中,ISP的中文含义是 。A.网络供应商B.在系统编程C.没有特定意义D.使

9、用编程器烧写PLD芯片40. 在EDA中,IP的中文含义是 。A. 网络供应商B. 在系统编程C. 没有特定意义 D. 知识产权核41. EPF10K20TC144-4具有多少个管脚 。A.144个 B.84个C.15个 D.不确定42. EPF10K20TC144-X器件,如果X的值越小表示 。A. 器件的工作频率越小 B. 器件的管脚越少C. 器件的延时越小 D. 器件的功耗越小43. 如果a=1,b=1,则逻辑表达式(a XOR b) OR( NOT b AND a)的值是 。A.0 B.1 C.2 D.不确定44. 执行下列语句后Q的值等于 。SIGNAL E: STD_LOGIC_V

10、ECTOR (2 TO 5);SIGNAL Q: STD_LOGIC_VECTOR (9 DOWNTO 2);E 1 , 4 =0 , OTHERS= 1);Q E(2), 4=E(3), 5=1, 7= E(5), OTHERS=E (4);A.“11011011”B.“00101101” C.“11011001” D.“00101100”45. VHDL文本编辑中编译时出现如下的报错信息Error:VHDL syntax error: signal declaration must have ;,but found begin instead. 其错误原因是 。A. 信号声明缺少分号。B.

11、 错将设计文件存入了根目录,并将其设定成工程。C. 设计文件的文件名与实体名不一致。D. 程序中缺少关键词。46. VHDL文本编辑中编译时出现如下的报错信息Error: VHDL syntax error: choice value length must match selector expression_r value length其错误原因是 。A. 表达式宽度不匹配。B. 错将设计文件存入了根目录,并将其设定成工程。C. 设计文件的文件名与实体名不一致。D. 程序中缺少关键词。47. MAX+PLUSII的设计文件不能直接保存在 。A 硬盘 B. 根目录 C. 文件夹 D. 工程目录48. MAXPLUS II是哪个公司的软件 。A. ALTERA B. ATMELC. LATTICE D. XILINX49. MAXPLUS II不支持的输入方式是 。A. 文本输入 B. 原理图输入C. 波形输入 D. 矢量输入50. MAXPLUSII中原理图的后缀是 。A. DOC B. GDF C. BMPD. JIF51. 在一个VHDL设计中Idata是一个信号,数据类型为std_logic_vector,试指出下面那个赋值语句是错误的。 。A.idata = “00001111”;B.idata = b”0000_1111”;C.idata = X”AB”

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 幼儿/小学教育 > 小学课件

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号