SOC实验报告研究生

上传人:m**** 文档编号:488572909 上传时间:2022-12-09 格式:DOC 页数:25 大小:383.50KB
返回 下载 相关 举报
SOC实验报告研究生_第1页
第1页 / 共25页
SOC实验报告研究生_第2页
第2页 / 共25页
SOC实验报告研究生_第3页
第3页 / 共25页
SOC实验报告研究生_第4页
第4页 / 共25页
SOC实验报告研究生_第5页
第5页 / 共25页
点击查看更多>>
资源描述

《SOC实验报告研究生》由会员分享,可在线阅读,更多相关《SOC实验报告研究生(25页珍藏版)》请在金锄头文库上搜索。

1、SOC 设计方法与实现实验报告马 亮201111857刘家明2011118561 实验时间:2011年11月19日2011年12月15日2 实验目的完成一个数字系统的Verilog HDL描述和利用EDA工具的Verilog HDL仿真综合环境对这一描述进行仿真并综合,完整地从事一个数字VLSI系统的设计过程,理解和掌握现代集成电路的设计流程、硬件描述语言综合理论等高层次设计方法以及它和物理实现之间的关系,巩固在理论课阶段学习的相关知识 。3 实验平台 代码输入工具: QuartusII功能仿真: ModelSim SE 6.2b 综合工具:DC,Synplify Pro 8.6.24 实验内

2、容设计一个数字信号处理器系统,其功能为:在8位微控制器 Intel8051的控制下对输入信号进行数字滤波处理并根据输入数据的大小产生一组控制液晶板的显示。系统框图如下: Intel8051 是微处理器; TH99CHLS 是要实现的系统。Display是一个液晶显示板。它包括三个显示区:一个时间显示区,一个数字显示区和一个由16个小方块组成的信号幅度显示区。显示面板为共阴极驱动,接高电平时对应的面板显示,接低时面板消失。其结构见下图所示。5:系统简介和对应的模块划分5.1:系统的整体工作过程:(1) 在外部信号PEbar的控制下,芯片从端口in读入一个八位数据。(2) 在(1)中输入的数据与微

3、处理器给出的另一个八位数据进行按位“与”操作。(3) 在(2)中处理过的数据经数字滤波后从端口out输出。(4) TH99CHLS 内部产生一组时间信号,包括时和分,其格式为:(hh:mm)。这组时间信号的初值由微处理器给出,微处理器可以随时对时间信号进行修改。(5) 送往液晶显示板的信号有三组:a. (4)中产生的时间信号,经七段译码后从端口hour和minute送出;b. (3)中经数字滤波的信号,在转换成十进制并做七段译码后,百位经端口d00,十位经端口d10,个位经端口d01送出; c. (3)中经数字滤波的信号,在经过压缩后通过端口ap送出。 5.2: 数字滤波器数字滤波器的转移函数

4、为: 滤波器的系数B0,B1,.,B6均由微处理器给出。微处理器可以根据需要修改这些系数。 5.2:系统模块说明和代码说明:根据功能分析,将整个系统分为8个模块。各个模块对应的源文件和功能描述如下表所示。模块名称对应源文件功能说明decoderdecoder.v将四位二进制译码为LED显示。输入4bit,输出为7bit直接驱动显示装置,为组合逻辑。H2DH2D.v进行16进制到十进制的转换。输入为8bit无符号数,输出为百,十,个位数字(其中每个数字4bit位宽),同样为组合逻辑decoder_4X16decoder_4X164-16译码器,主要是将经过y=sqrt(x)压缩后的数据送至显示设

5、备。输入4bit,输出16bit,组合逻辑。sqrt_lutsqrt_lut.v主要实现y=sqrt(x)的运算。主要是通过比较和查找表方式实现。输入8bit,输出4bit。时序逻辑,一个时钟周期。mul8b_shiftadd_fsmmul8b_shiftadd_fsm.v乘法器设计。通过移位加的方式实现。内部通过状态机实现。完成一次乘法需要8个时钟周期timer_clktimer_clk.v时间时钟模块,主要是根据系统时钟计算秒。通过计数器级联的方式实现。filterfilter.v这是整个系统比较核心的部分。主要实现滤波器的设计和与微处理器的接口时序设计。接口需要三态控制,并且要注意读写信

6、号的控制,滤波器采用并行设计,用面积换取速度。TH99CHLSTH99CHLS.v顶层元件例化。主要是将上述各个模块进行组合。 整个功能框图如下:6:代码功能测试下面是测试用的模块介绍,模拟TH99CHLS外围的单片机、控制信号和数据输入等:模块名称对应的源文件功能说明osc_rstosc_rst.v产生时钟频率和复位信号fir_tbfir_tb.v滤波器数据的输入wr_rdwr_rd.v单片机WR,RD信号的模拟mcumcu.v主要是模拟单片机对TH99CHLS芯片的控制,包括寄存器的读入与读出等一系列操作top_testtop_test.v顶层测试文件,主要是进行元件例化ModelSimS

7、E功能仿真结果波形图如下:第一张图为前0-7us,第二张图为7us-15us.详细图形见source中的1.bmp和2.bmp.也可以查看其下的vsim.wlf(需要安装modelsim)。此图为对TH99CHLS芯片内部b0-b6(滤波器系数)寄存器的操作和对mini,hour寄存器初始化的操作仿真结果。7:综合结果及分析Synplify综合结果如下:DC综合结果如下:8:所占用的资源和数据通道分析该系统所占用的资源如下:在滤波器设计中,并没有采用乘法器的复用,而是采用了多个乘法器的复制,牺牲面积来换取速读的提高。综合后的频率能够达到50MHz。滤波器的数据通道如下:(用多个乘法器)9:Ve

8、rilog HDL代码/timescale 1ns/10psmodule top_test(); wire clk,rst_n; wire 7:0 abus; wire ale,rbar_n,wbar_n,cs_n; wire pebar_n; wire 7:0 fir_in; wire 7:0 dbus_xio; wire 13:0 hour,mini; wire 20:0 fir_out; wire 15:0 fir_out_sqrt; wire send_data_en; fir_tb Ufir_tb(send_data_en,pebar_n,fir_in); osc_rst Uost_

9、rst(clk,rst_n); TH99CHLS UTH99( dbus_xio, abus, rbar_n, wbar_n, ale, pebar_n, fir_in, cs_n, rst_n, clk, /output ports fir_out, fir_out_sqrt, hour, mini ); mcu Umcu( .dbus_xio(dbus_xio), .abus(abus), .rbar_n(rbar_n), .wbar_n(wbar_n), .ale(ale), .cs_n(cs_n), .send_data_en(send_data_en) );endmodule/mod

10、ule decoder( /input hex, /output led ); input 3:0 hex; output reg 6:0 led; always (hex) begin case(hex) 4b0000:led=7b011_1111; /0 4b0001:led=7b001_1000; /1 4b0010:led=7b111_0110; /2 4b0011:led=7b111_1100; /3 4b0100:led=7b101_1001; /4 4b0101:led=7b110_1101; /5 4b0110:led=7b110_1111; /6 4b0111:led=7b0

11、11_1000; /7 4b1000:led=7b111_1111; /8 4b1001:led=7b111_1101; /9 default :led=7b000_0000; /null endcase endendmodule/module TH99CHLS( /input dbus_xio, abus, rbar_n, wbar_n, ale, pebar_n, fir_in, cs_n, rst_n, clk, /output ports fir_out, fir_out_sqrt, hour, mini ); input clk,rst_n; input 7:0 abus; input ale,rbar_n,wbar_n,cs_n; input pebar_n; input 7:0 fir_in; inout 7:0 dbus_xio; output 13:0 hour,mini; output 20:0 fir_out; output 15:0 fir_out_sqrt; wire 15:0 fir_out_Hex;

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 办公文档 > 工作计划

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号