2选1多路选择器EDA实验报告

上传人:新** 文档编号:488549898 上传时间:2023-11-12 格式:DOCX 页数:5 大小:14.07KB
返回 下载 相关 举报
2选1多路选择器EDA实验报告_第1页
第1页 / 共5页
2选1多路选择器EDA实验报告_第2页
第2页 / 共5页
2选1多路选择器EDA实验报告_第3页
第3页 / 共5页
2选1多路选择器EDA实验报告_第4页
第4页 / 共5页
2选1多路选择器EDA实验报告_第5页
第5页 / 共5页
亲,该文档总共5页,全部预览完了,如果喜欢就下载吧!
资源描述

《2选1多路选择器EDA实验报告》由会员分享,可在线阅读,更多相关《2选1多路选择器EDA实验报告(5页珍藏版)》请在金锄头文库上搜索。

1、EDA 实验报告学生姓名 :asfmla;m 学号 :eafvpacv 专业班级 : 电子 3 班组合电路设计一、实验目的熟悉 quartus的 VHDL 文本设计全过程 ,学习简单组合电路的设计、多层次电路设计、仿真。二、实验内容实验内容 :首先利用 quartus完成 2 选 1 多路选择器 (例 4-3 的文本编译输入 (mux21a.vhd 和仿真测试等步骤 ,最后在实验系统上硬件测试 ,验证此设计的功能。将此多路选择器看成一个元件 mux21a,利用元件例化语句描述成三选一 ,然后进行编译、综合、仿真。引脚锁定以及硬件下载测试。建议选实验电路模式5,用键1(PIO0 控制 s0;用键

2、 2(PIO1 控制 s1;a3、a2 和 a1 分别接 clock5、 clock0 和 clock2;输出信号 outy 仍接扬声器 spker。通过短路帽选择 clock0 接 256HZ 信号 ,clock5 接1024HZ 信号 ,clock2 接 8HZ 信号。最后选行编译、下载和硬件测试实验。三、实验器材Quartus II 软件。四、设计思路 /原理图五、实验程序实验内容 1:二选一 :library ieee;use ieee.std_logic_1164.all;entity mux21a isport(a,b: in std_logic;s: in std_logic;y

3、: out std_logic; end entity;architecture dataflow of mux21a is beginya2,b=a3,s=s0,y=tmp;u2: mux21a port map(a=a1,b=tmp,s=s1,y=outy;end architecture;六、实验步骤在 E 盘新建一个文件夹 ,用于存放工程。打开quartus,新建工程 ,然后选择新建VHDL 文件 ,命名为 mux21a。在 VHDL 编辑窗口中输入实验程序后,进行编译、仿真 ;在实验一的基础上 ,新建 VHDL 文件 ,命名为 mux31a。在 VHDL 编辑窗口中输入实验程序后 ,进行编译、综合、仿真 ;最后进行硬件测试。七、仿真波形分析二选一波形 :分析 :当 s=0 时,y=a;当 s=1 时,y=b。三选一综合图形及其波形八、引脚图连接分析 :当 s1s0=00时 ,outy=a1;当 s1s0=01时,outy=a1;当 s1s0=10时 ,outy=a2;当s1s0=11时,outy= a3。九、实验体会只要自己能全身心投入进去,就能办成事。对待实验一定要有一颗严谨的心。

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 办公文档 > 活动策划

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号