EDA电子密码锁毕业设计论文设计(DOC 22页)

上传人:hs****ma 文档编号:488121197 上传时间:2024-03-04 格式:DOC 页数:22 大小:944.50KB
返回 下载 相关 举报
EDA电子密码锁毕业设计论文设计(DOC 22页)_第1页
第1页 / 共22页
EDA电子密码锁毕业设计论文设计(DOC 22页)_第2页
第2页 / 共22页
EDA电子密码锁毕业设计论文设计(DOC 22页)_第3页
第3页 / 共22页
EDA电子密码锁毕业设计论文设计(DOC 22页)_第4页
第4页 / 共22页
EDA电子密码锁毕业设计论文设计(DOC 22页)_第5页
第5页 / 共22页
点击查看更多>>
资源描述

《EDA电子密码锁毕业设计论文设计(DOC 22页)》由会员分享,可在线阅读,更多相关《EDA电子密码锁毕业设计论文设计(DOC 22页)(22页珍藏版)》请在金锄头文库上搜索。

1、目录第1章概述2第2章设计要求2第3章总体框图3第4章功能模块54.1 输入模块54.2 控制模块84.3 显示模块16第5章总体设计电路图18第6章设计心得体会21参考文献23第1章 概述电子密码锁在生活中十分常见,在这我将设计一个具有较低本钱的电子密码锁,本文讲述了我整个设计过程与收获。讲述了电子密码锁的的工作原理以与各个模块的功能,并讲述了所有局部的设计思路,对各局部电路方案的选择、元器件的筛选、以与对它们的调试、对波形图的分析,到最后的总体图的分析。第2章 设计要求本设计名称为电子密码锁,用四个模块,分别为输入模块、控制模块、扫描器模块、显示模块,来控制密码的输入、验证与显示。设计所要

2、实现的功能为:1 数码输入:手动用3个拨码开关与3个按键设计三位密码的输入,并在显示器显示出该数值。2 数码验证:开锁时输入密码后,拨动 RT键使其为高电平,而CHANGE为低电平检测,密码正确时开锁,输出LOCKOPEN灯灭,LOCKCLOSE灯亮,表示开锁成功。3 错误显示:当密码输入错误时,LOCKOPEN灯亮,LOCKCLOSE灯灭,表示开锁失败。4 更改密码:当改变密码时,按下CHANGE键使其为高电平,而RT为低电平时,可改变密码。5 密码去除:按下REST可去除前面的输入值,去除为“888。第3章 总体框图1设计方案:电子密码锁,主要由三局部组成:密码输入电路、密码锁控制电路和密

3、码锁显示电路。作为电子密码锁的输入电路,可选用的方案有拨码与按键来控制输入和触摸式键盘输入等多种。拨码与按键和触摸式4*4键盘相比简单方便而且本钱低,构成的电路简单,本设计中采用拨码与按键来作为该设计的输入设备。数字电子密码锁的显示信息电路可采用LED数码显示管和液晶屏显示两种。液晶显示具有高速显示、可靠性高、易于扩展和升级的特点,但是普通的液晶存在亮度低、对复杂环境适应能力差的特点,但是在本设计中任然使用LED数码管。根据以上选定的输入设备与与显示器件,并考虑到现实各项密码锁功能的具体要求,与系统的设计要求,系统设计采用自顶向下的设计方案。整个密码锁系统的总体总体框图如图1.1所示。输入模块

4、存放器与清零信号发生电路数值比拟器拨码与按键单脉冲控制输入译码LED灯扫描电路三选一选择器开/关锁电路控制模块显示模块BCD七段译码显示电路第4章 功能模块4.1 输入模块1功能介绍输入时有三个拨码键控制输入,每个拨码各控制一位密码,对于其中一个拨码键每拨一次码按一次按键,表示输入一位,当输入四位时输出一位数,用“888作为初始密码。2输入模块与仿真图形上图为单脉冲控制输入,当M给一上升沿信号将在PUL输出一位与之对应的高或低电平。上图为4为串行输入并行输出存放器,它由4个D触发组成,当reset为高电平时,每给一脉冲输入数据将向右移一位二值代码,它能同时复位3)程序的输入在文本区输入程序,程

5、序如下: 单脉冲信号控制LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY puls IS PORT (PUL,M:IN STD_LOGIC; Q:OUT STD_LOGIC); END puls;ARCHITECTURE BEHAVE OF puls IS SIGNAL TEMP:STD_LOGIC; BEGIN PROCESS(M) BEGIN IF MEVENT AND M=1 THEN IF PUL=1 THEN TEMP=1; ELSE TEMP=0; END IF; END IF; END PROCESS;Q0);ELSEif clkev

6、ent and clk=1 thenq(3):=q(2);q(2):=q(1);q(1):=q(0);q(0):=din;END IF;END IF;qoutq=0000;q1q=0001;q1q=0010;q1q=0011;q1q=0100;q1q=0101;q1q=0110;q1q=0111;q1q=1000;q1q=1001;q1q=0000;q1=0000;END CASE;END IF; END PROCESS;END ARCHITECTURE ART;总功能控制模块ELIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITYEleclock IS

7、PORT(NB:IN STD_LOGIC_VECTOR(3 DOWNTO 0);NS:IN STD_LOGIC_VECTOR(3 DOWNTO 0);NG:IN STD_LOGIC_VECTOR(3 DOWNTO 0);CLK:IN STD_LOGIC;CHANGE,RT: IN STD_LOGIC;DB:OUT STD_LOGIC_VECTOR(3 DOWNTO 0);DS:OUT STD_LOGIC_VECTOR(3 DOWNTO 0);DG:OUT STD_LOGIC_VECTOR(3 DOWNTO 0);LOCKOPEN,LOCKCLOSE:OUT STD_LOGIC);END ENTITY Eleclock;ARCHITECTURE ART OF Elec

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 建筑/环境 > 施工组织

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号