EDA技术及应用设计报课程设计基于FPGA的正弦函数发生器的实现

上传人:s9****2 文档编号:486566492 上传时间:2023-05-22 格式:DOC 页数:14 大小:3.32MB
返回 下载 相关 举报
EDA技术及应用设计报课程设计基于FPGA的正弦函数发生器的实现_第1页
第1页 / 共14页
EDA技术及应用设计报课程设计基于FPGA的正弦函数发生器的实现_第2页
第2页 / 共14页
EDA技术及应用设计报课程设计基于FPGA的正弦函数发生器的实现_第3页
第3页 / 共14页
EDA技术及应用设计报课程设计基于FPGA的正弦函数发生器的实现_第4页
第4页 / 共14页
EDA技术及应用设计报课程设计基于FPGA的正弦函数发生器的实现_第5页
第5页 / 共14页
点击查看更多>>
资源描述

《EDA技术及应用设计报课程设计基于FPGA的正弦函数发生器的实现》由会员分享,可在线阅读,更多相关《EDA技术及应用设计报课程设计基于FPGA的正弦函数发生器的实现(14页珍藏版)》请在金锄头文库上搜索。

1、各专业全套优秀毕业论文图纸宜兴工程学院,电气与电子信息工程学院EDA技术及应用设计报告名 称: 基于FPGA的正弦函数发生器的实现 (100KHZ-200KHZ,步进为1KHZ) 专业名称: 电气工程及其自动化 班 级: 11级电气(1)班 学号: 2011402201 姓 名: 同 组 人: 指导教师: 设计时间: 2014年9月15日9月26日 设计地点: 3号楼 404教室 任务书设计题目:基于FPGA的正弦函数发生器的实现(100KHZ-200KHZ,步进为1KHZ)教学院:电气学院 专业班级:电气工程及其自动化(1)班 学生姓名: 学号: 2011402201 指导教师: 邓彬伟 1

2、主要内容1.利用EDA开发系统、Quartus II 软件实现数字信号发生器的设计;2.根据整体电路的工作原理,完成各个子模块的设计及实现;3.该数字信号发生器能够产生余弦信号;4.产生的波形信号频率可通过按键进行调节,调节频率在100KHZ-200KHZ,步进为1KHZ。2基本要求设计报告:不少于5000字,幅面,统一复印封面。 封面、设计任务书 目录1)系统设计原理说明及实现方案论证;(综述、任务详解及设计思路等)2)系统硬件设计;3)系统软件设计;4)系统调试;(调试步骤、方法及调试过程中的问题及如何解决等)5)结果分析及展望;(最后的结果成功点和不足之处、总结及改进等) 附录-参考文献

3、3进度安排设计各阶段名称起 止 日 期1查阅DDS原理相关资料20142讲解DDS原理,verilog程序语言等20143锁存器原理与数码显示程序的讲解20144硬件与软件设计,程序调试,撰写报告20145完善报告,答辩20144、设计考核办法与成绩评定根据过程、报告、答辩等确定设计成绩,成绩按得分0100分,可分为优、良、中、及格、不及格五等。评定项目基本内涵分值设计考勤考勤、自行设计、按进度完成任务等情况10设计调试软硬件调试过程及完成情况50设计答辩回答问题等情况10设计报告完成情况、报告规范性、创新性、雷同率等情况3090100分:优;8089分:良;7079分:中;6069分,及格;

4、60分以下:不及格5主要参考文献1谭会生,张昌凡等. EDA技术及应用(第二版M). 西安:西安电子科技大学出版社,2004.2李国丽,朱维勇,栾铭.EDA与数字系统设计M.北京:机械工业出版社,2004.1.4-10.3薛文.DDS任意波形发生器的设计与实现D:硕士学位论文.南京.南京理工大学,20044高琴,姜寿山,魏忠义.基于FPGA的DDS信号源设计与实现J.西安工程科技学院学报,2006.7杨丽,李镇,孙厚军.基于FPGA的多波形信号发生器J.无线电工程,2005,35(7):46-48.8洪嘉,彭启琮,基于FPGA的数字中频信号发生器硬件设计J.信息技术,2005.9杜培明.基于F

5、PGA动态信号产生器设计J.现代电子技术,2006.10莫小灵.正弦信号发生器的FPGA实现J.新余高专学报,2006.11Sergio Franco. Design with Operational Amplifiers and Analog Integrated Circuits. 西安:西安交通大学出版社,2004. 教研室主任: 胡学芝 2014年9月 1 日 目录摘要21设计原理及要求32设计论证方案4 方案一4 方案二4 方案三4 方案确定53 硬件部分5 输入部分54 软件部分5余弦波数据获取6 输出波形频率65系统调试7仿真结果7仿真结果分析7 结果展望7总结8主要参考文献9附

6、录10 基于FPGA的正弦函数发生器的实现 (100KHZ-200KHZ,步进位1KHZ ) 摘要信号发生器是一种常用的信号源,和示波器、电压表、频率计等仪器一样是最普遍、最基本也是应用最广泛的的电子仪器之一,几乎所有电参量的测量都要用到波形发生器。不论是在生产还是在科研与教学上,波形发生器都是电子工程师信号仿真试验的最佳工具。随着现代电子技术的飞速发展,现代电子测量工作对波形发生器的性能提出了更高的要求,不仅要求能产生正弦波、方波等标准波形,还能根据需要产生任意波形,且操作方便,输出波形质量好,输出频率范围宽,输出频率稳定度、准确度及分辨率高,频率转换速度快且频率转换时输出波形相位连续等。而

7、传统波形发生器采用专用芯片,成本高,控制方式不灵活,已经越来越不能满足现代电子测量的需要,正逐步退出历史舞台。可见,为适应现代电子技术的不断发展和市场要求,研究制作高性能的任意波形发生器十分有必要,而且意义重大。本文所设计的内容就是基于Altera公司的现场可编程门阵列(FPGA)实现数字信号发生器的设计,FPGA具有密度高,功耗低,体积小,可靠性高等特点,设计时可以不必过多考虑具体硬件连接。 采用FPGA现场可编程门阵列为控制核心,通过硬件描述语言Verilog编程,在QuartusII仿真平台上编译、仿真、调试,并下载到FPGA芯片上,通过严格的测试后,能够较准确地测量余弦信号的频率,而且

8、还能对其他多种物理量进行测量和调节,使输出余弦波形的变化频率在100KHZ200KHZ,步进为1KHZ。关键词:硬件描述语言.现场可编程门阵列,频率计,频率测量 1. 系统设计原理及要求该数字信号发生器系统主要由输入部分、FPGA部分、频率调节和波形仿真部分组成。如图1.1所示。 分频器 时钟 系 统 控 制 器 复位余弦波仿真 波形 调频 图1.1 数字信号发生器系统组成本设计中利用Verilog编程,依据基本数字电路模块原理进行整合。系统各部分所需工作时钟信号由输入系统时钟信号经分频得到,系统时钟输入端应满足输入脉冲信号的要求。具备频率可调功能,频率通过两个按键可以增减调节。主要通过Ver

9、ilogL语言实现频率控制、波形控制、 波形数据的提取、 波形的产生工作。其中 ,波形数据运用Verilog语言编写 。控制部分主要采用产生高低电平的拨码开关控制。程序下载到 FPGA 上实现 ,通过Altera公司QuartusII软件进行波形的仿真,从而完成整个设计。本设计的任务是设计一个基于FPGA的数字信号发生器,根据设计达到以下要求:1.利用EDA开发系统、Quartus II 软件实现数字信号发生器的设计;2.根据整体电路的工作原理,完成各个子模块的设计及实现;3.该数字信号发生器能够产生余弦信号;4.产生的波形信号频率可通过按键进行调节,调节频率在100KHZ-200KHZ,步进

10、为1KHZ。方案一采用DDS(直接数字频率合成器)来设计,设计总体框图如图2所示。在设计界里众所周知,DDS器件采用高速数字电路和高速D/A转换技术,具有频率转换时间短、频率分辨率高、频率稳定度高、输出信号频率和相位可快速程控切换等优点,所以,我们可以利用DDS具有很好的相位控制和幅度控制功能,另外其数据采样功能也是极具精确和完善的,它可以产生较为精确的任何有规则波形信号,可以实现对信号进行全数字式调制。用FPGA和DDS实现信号调制,既克服了传统的方法实现带来的缺点,若采用它来编程设计,必定会事半功倍,且使设计趋于理想状态。但鉴于DDS的占用ROM空间较大,我们设计时就必须考虑到所用期间的R

11、OM空间是否够用,结合我选用的Cyclone II 系列的 EP2CE6F17C8器件所提供的的存储单元。应该可以满足本次设计的需要。方案二采用震荡器频率合成方案。具体方案如下:首先通过频率合成技术产生所需要频率的方波,通过积分电路就可以得到同频率的三角波,再经过滤波器就可以得到正弦波。其优点是工作频率可望做得很高,也可以达到很高的频率分辨率;缺点是使用的滤波器要求通带可变,实现很难,高低频率比不可能做得很高。方案三采用Verilog语言来编程,然后下载文件到FPGA来实现。VHDL语言是电子设计领域的主流硬件描述语言,具有很强的电路描述和建模能力,能从多个层次对数字系统进行建模和描述,从而大

12、大降低了硬件设计任务,提高了设计效率和可靠性,要比模拟电路快得多。该方案是利用FPGA具有的静态可重复编程和动态在系统重构的特性,使得硬件的功能可以像软件一样通过编程来修改,极大地提高了电子系统设计的灵活性和通用性,而且大大缩短了系统的开发周期。 方案确定:由上述三个方案对比,采用第一种方案: 因为DDS频率合成器具有以下优点:(1)频率分辨率高,输出频点多,可达2N个频点(假设DDS相位累加器的字长是N);(2)频率切换速度快,可达US量级;(3)频率切换时相位连续;(4)可以输出宽带正交信号;(5)输出相位噪声低,对参考频率源的相位噪声有改善作用;(6)可以产生任意波形;(7)全数字化实现

13、,偏于集成,体积小,重量轻。使用直接数字信号合成技术(DDS),将三种波形的数据存储在FPGA配置的ROM中,通过Altera公司QuartusII软件进行波形的仿真,从而完成整个设计。这种方法在软、硬件电路设计上都简单,且与我们的设计思路紧密结合。3. 硬件部分本设计使用的FPGA芯片为EP3CE6F17C8芯片,通常情况下在硬件调试的过程中一般使用下载电缆进行下载,而当调试完成以后要用配置芯片对FPGA进行配置。配置芯片在每次系统上电以后自动将配置文件加载到FPGA中形成电路。输入部分输入部分包含以下功能按键:时钟、复位、波形、调频。1时钟:标准的50MHZ时钟输入。2复位:低电平复位。3波形:为波形输出选择开关,可以选择单波形的输出。4调频:可以递增和递减仿真余弦波的频率。4. 软件部分 本次设计的软件部分主要运用Altera公司的Quartus软件平台,其开发流程基本分成2个步骤:软件的设计文件可以来自Quartus强大的集成功能允许信息在各种应用程序间自由交流,设计者可在一个工程内直接从某个设

展开阅读全文
相关资源
正为您匹配相似的精品文档
相关搜索

最新文档


当前位置:首页 > 建筑/环境 > 施工组织

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号