除法器设计

上传人:hs****ma 文档编号:479330375 上传时间:2022-11-21 格式:DOCX 页数:19 大小:701.22KB
返回 下载 相关 举报
除法器设计_第1页
第1页 / 共19页
除法器设计_第2页
第2页 / 共19页
除法器设计_第3页
第3页 / 共19页
除法器设计_第4页
第4页 / 共19页
除法器设计_第5页
第5页 / 共19页
点击查看更多>>
资源描述

《除法器设计》由会员分享,可在线阅读,更多相关《除法器设计(19页珍藏版)》请在金锄头文库上搜索。

1、除法器设计摘要 : 本设计要求设计一个简单的除法器,根据要求采用乘法器BG314与运算放大器3554AM以及外部电源共同实现除法器功能乘 法器用以实现乘法运算,然后通过运算放大器工作于负反馈状态实现 信号的放大,并由自制电源电路供电.通过对系统各模块进行仔细的分 析,了解了各器件的性能与用途后,便可将其运用到自己的设计当中去. 电路设计完成后,开始对系统进行测试,方法有两种,可以通过对系统 电路在Multisim软件下仿真测试,也可以做出相应的印制电路板来进 行测试.在本设计中,通过仿真测试与实物测试相结合的综合测试后, 发现系统基本能达到设计要求.关键字: 乘法器 运算放大器 电源 Mult

2、isim 印制电路板: This design requests to design a simple division machine, adopting theMultisimmultiplication machine BG314 and the operation enlarger 3554 AMses and the exterior power supplies according to the request to carry out the division machine function together.The multiplication machine carries

3、 out the multiplication operation in order to, then pass to operate the enlarger work to carry out the signal to enlarge in the negative feedback appearance, and from the self-control power supply electric circuit power supply.Pass to carry on the careful analysis to each mold of system piece, after

4、 understanding the function and uses of each machine piece, can make use of it to own design then in the middle.The electric circuit is after design complete, starting carrying on the test to the system, the method have two kinds of, can pass to imitate the true test to the system electric circuit u

5、nder the software of Multisim, can also do a homologous of print and make circuit board to carry on the test.In this design, after passing to imitate the comprehensive test that the true test and real objects test combine together, discover that the system is basic and can attain design to request.T

6、he multiplication machine operation enlarger power supply目录1、系统方案设计与论证 .11.1 设计要求 .11.2 系统基本方案 .11.2.1 各模块方案选择与论证 .1(1) 乘法器模块 .1(2) 运算电路模块.2(3) 电源模块 .2(4) 显示模块 .21.2.2 系统各模块的最终方案32、系统的硬件设计与实现32.1 系统硬件的基本组成部分 32.2 主要单元电路设计 . 32.2.1 乘法器电路设计 32.2.2 运算电路设计 52.2.3 电源电路设计 92.2.4 显示电路的设计133、系统测试与优化133.1 电源

7、部分测试 . 133.2 其他部分测试 . 143.3 系统实现的功能 .154 结论 1541 评价1542 心得155参考文献166附录.166.1器件清单.166.2系统原理图.161、系统方案设计与论证1.1 设计要求设计一个简单的除法器(Vx=0.2V Vy=0.5V K=1可用集成芯片做)1.2 系统基本方案根据题目要求,系统可以划分为集成乘法器模块、集成运算放大器模块和 12V 电源模块.模块框图如图1.2所示.为实现各模块的功能,分别做了几种不同的设计方案并进行了论证.电源模块模拟乘法器运算放大器图 1.2 除法器基本模块方框图1.2.1 各模块方案选择与论证(1) 乘法器模块

8、 根据题目要求,模拟乘法器主要用于实现两个互不相关的模拟信号间的相乘的功能 .对乘法器的选择有以下两种方案:方案一:采用集成模拟乘法器BG314.集成模拟乘法器是实现两个模拟信号相乘的器件,它广泛用于乘法、除法、乘方和开方等模拟运算,同时也广泛用于信息传输 系统作为调幅、解调、混频、鉴相和自动增益控制电路,是一种通用性很强的非线性电 子器件,目前已有多种形式、多品种的单片集成电路,同时它也是现代一些专用模拟集 成系统中的重要单元.该集成模拟乘法器不仅功能强大而且外部电路简单,工作原理易理 解.方案二:采用分离元件组合电路.分离元件组合电路参数可自行调节,但是精度 较差,在使用过程中难度较大.而

9、且由于电路较复杂,增加了焊接的难度.根据以上论述,考虑到各方面的因素,在本设计中,采用简单、方便、可靠的集成模 拟乘法器来实现.(2) 运算电路模块 根据题目要求,运算电路主要用于实现信号的放大功能.对于运算放大器的选择有以 下三种方案:方案一:采用单运放集成芯片3554AM.这是一款较老的芯片,在平时的电路设 计当中常常要用到,主要是因为它是同类芯片当中功能相似但电路结构却相对简单的一 种.方案二:采用单运放集成芯片LM741.这是曾经学到过的一种芯片,我们对它较熟悉,而且对其内部结构和功能也有所了解.它的各参数都较稳定,有较高的共模抑制比, 也是需要 15V 的双电源供电.方案三:采用双运

10、放集成芯片LM358这也是一款较常用到的芯片,由于是双运 放,所以功能也相应的有所加强,但是我们所用到的电路比较简单 ,结果可能使它的很多 功能都没有得到运用,导致对资源的浪费.在本设计中,对各方案进行比较论证之后,我们采用熟悉简单而且性能优良的单运放 集成芯片3554AM来实现对信号的放大.(3) 电源模块电源模块主要用于实现对各模块供电的功能对于电源模块的选择有以下三种方案:方案一:采用1.5V常用电池串接而成.这种方法简单易懂,但是精确度不够,稳 定度也不高,当电池里面的电用光之后,便不在具有供电功能.方案一:采用直接购买电源的方法.在市场上可以直接买到相应的电源,但是考 虑到经济上的原

11、因,显然这并不合适.方案二:采用自制整流电路.这种方法简单可行,可以得到精确的电压值,而且稳 定度高,可以长期使用.正好可以让自己所学的知识在实践中得到很好的运用 .其方框图如图 1.3 所示:220v电源变压器整流电路15V输出图 1.3 整流电路方框图根据以上论述,考虑到经济、实用等方面因素,在本设计中选择方案三,采用自制整流电 路来实现.(4) 显示模块 根据不同的需要,显示模块可以有以下三种不同的方案可供选择: 方案一:使用数码管显示时间和温度.数码管具有低功耗、低压、寿命长、耐老 化、防晒、防潮、防火、防高低温等优点,对外界环境要求低,易于维护,同时其精度比较高, 操作简单.另外,数

12、码管采用 BCD 码显示数字,程序编译简单,资源占用较少.方案二:使用液晶显示屏显示.液晶显示屏(LCD)具有轻薄短小,低耗电量,无辐射危险, 平面直角显示以及影象稳定不闪烁, 可视面积大等优势.方案三:采用数字电压表进行直接测量. 数字电压表读数精确, 是常用器件, 使用起 很方便.而且性能稳定,读数方便.根据以上论述,由于我们暂时对 LCD 还不是很熟悉,对其性能还不是很了解,而使用数 码管会使电路变的复杂,而且还要通过编程来实现 ,因此我们在设计中选择直接用数字电压 表进行测量显示读数.1.2.2 系统各模块的最终方案经过仔细的分析与论证,决定了系统各模块的最终方案如下:(1) 乘法器模

13、块:采用集成模拟乘法器BG314实现;(2) 运算电路模块: 采用单运放集成芯片 LM741;(3) 电源模块: 采用自制整流电路实现;(4) 显示模块: 采用数字电压表进行直接测量.系统的基本框图如图 1.4 所示.图 1.4 系统的基本框图模拟乘法器主要用于实现两个互不相关的模拟信号间的相乘的功能,而运算电路主要用于 实现对信号的放大.其工作过程如下:电源加电后,经过整流电路的整流以后可以得到运放所需要的+(-)15V电压,从乘法器输入 端输入被处理电压,经过运放电路处理后便可在数字电压表上看到经过除法器处理过后的电 压值了.2、系统的硬件设计与实现2.1 系统硬件的基本组成部分本题是一个

14、除法器的综合设计,在设计中运用了模拟乘法器处理技术、电压整流技术.2.2 主要单元电路设计2.2.1 乘法器电路设计(1) 模拟乘法器的基本特性 模拟乘法器是一种完成两个模拟信号(连续变化的电压或电流)相乘作用的电子器 件,通常具有两个输入端和一个输出端,电路符号如图 2.1 所示。若输入信号为u , u,则输出信号u为:x y ou =k u uo y x式中:k为乘法器的增益系数或标尺因子,单位为V 1.根据两个输入电压的不同极性,乘法输出的极性有四种组合,用图2.2 所示的工作 象限来说明。(-)(+)=(-)(+)(+)=(+)III+)-)=-)图 2.2 模拟乘法器的工作象限若信号u、u均限定为某一极性的电压时才能正常工作,该乘法器称为单象限乘xy法器;若信号u、u中一个能适应正、负两种极性电压,而另一个只能适应单极xy性电压,则为二象限乘法器;若两个输入信号能适应四种极性组合,称为四象限乘 法器。(2) BG314 内部结构如图 2.3所示,外部电路如图 2.4所示:图 2.3 BG314 内部电路图 2.4 BG314 外部电路

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 学术论文 > 其它学术论文

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号